INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

Package erstellen



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

24.07.2008, 15:23
Beitrag #1

petit_spirou Offline
LVF-Grünschnabel
*


Beiträge: 12
Registriert seit: Sep 2007

11.0
2005
DE

34132
Deutschland
Package erstellen
Hallo,

hat jemand Erfahrung, wie man ein Paket erstellt?

Ich hab mal im Netz gesucht und nur Hinweise auf den OpenCommander gefunden. Der ist aber meines
Wissens nicht mehr erhältlich. Die Weiterentwicklung davon ist der VIPM. Aber da ist die Option zur Erstellung eines Pakets kostenpflichtig (ich hab nur die Community Version).

Wer kennt eine andere Möglichkeit ein Paket zustellen ausser mit dem VIPM?

Gruss

Markus
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
25.07.2008, 09:48
Beitrag #2

Y-P Offline
☻ᴥᴥᴥ☻ᴥᴥᴥ☻
LVF-Team

Beiträge: 12.612
Registriert seit: Feb 2006

Developer Suite Core -> LabVIEW 2015 Prof.
2006
EN

71083
Deutschland
Package erstellen
Bahn

--------------------------------------------------------------------------
Bitte stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort !!
--------------------------------------------------------------------------
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
25.07.2008, 09:55 (Dieser Beitrag wurde zuletzt bearbeitet: 25.07.2008 09:57 von eg.)
Beitrag #3

eg Offline
LVF-SeniorMod


Beiträge: 3.868
Registriert seit: Nov 2005

2016
2003
kA

66111
Deutschland
Package erstellen
Ich kenne keine andere Möglichkeit. VIPM ist nicht offen, JKI will wie jede andere Fa Geld dafür haben. Ich finde es ganz schlecht, dass die freie Paketerstellung nicht unterstützen. Das ist ja für die nachteilig, weil es sonst viel mehr LV-Pakete gäbe und somit mehr Interessenten.

Aber hier in der Beschreibung des freien VIPM Community Edition steht, dass man Pakete erstellen kann.

http://jkisoft.com/vipm/

Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
25.07.2008, 09:59
Beitrag #4

Y-P Offline
☻ᴥᴥᴥ☻ᴥᴥᴥ☻
LVF-Team

Beiträge: 12.612
Registriert seit: Feb 2006

Developer Suite Core -> LabVIEW 2015 Prof.
2006
EN

71083
Deutschland
Package erstellen
Was kann ich mit solchen Paketen machen? Huh

Gruß Markus

--------------------------------------------------------------------------
Bitte stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort !!
--------------------------------------------------------------------------
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
25.07.2008, 10:02
Beitrag #5

eg Offline
LVF-SeniorMod


Beiträge: 3.868
Registriert seit: Nov 2005

2016
2003
kA

66111
Deutschland
Package erstellen
' schrieb:Was kann ich mit solchen Paketen machen? Huh

Gruß Markus


In LabVIEW installieren, dann hat man viel mehr nützlichen VIs in den Paletten.

Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
25.07.2008, 10:04
Beitrag #6

Y-P Offline
☻ᴥᴥᴥ☻ᴥᴥᴥ☻
LVF-Team

Beiträge: 12.612
Registriert seit: Feb 2006

Developer Suite Core -> LabVIEW 2015 Prof.
2006
EN

71083
Deutschland
Package erstellen
Also quasi ein eigenes Toolkit bauen? Big Grin

Gruß Markus

' schrieb:In LabVIEW installieren, dann hat man viel mehr nützlichen VIs in den Paletten.

--------------------------------------------------------------------------
Bitte stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort !!
--------------------------------------------------------------------------
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
29.07.2008, 12:26
Beitrag #7

petit_spirou Offline
LVF-Grünschnabel
*


Beiträge: 12
Registriert seit: Sep 2007

11.0
2005
DE

34132
Deutschland
Package erstellen
Ich glaub ich war etwas vorschnell mit meiner Anfrage:

ich hab mich nochmal umgeschauen, und siehe da:
es gibt ein OpenG-Packet zum erstellen von Packeten: ogsrc_package_builder_0.1.0alpha6-1.opg.

Mit VIPM installiert und ausprobiert, es funktioniert! Es gibt zwar noch ein paar Dinge zum verbessern, aber
alles in allem, genau das was ich gesucht habe.

Auf der englischen LabVIEW-Seite gibt es einen interessanten Artikel:
http://forums.lavag.org/LabVOOP-Class-Libr...lder-t7649.html

Gruss Markus
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
19.12.2008, 10:15
Beitrag #8

Wulfi Offline
LVF-Gelegenheitsschreiber
**


Beiträge: 126
Registriert seit: Jan 2007

2012 DeveloperSuite + MathScript
2006
DE

45xxx
Deutschland
Package erstellen
Mhh, verstehe zwar generell worum es geht und finde nen einfachen Paketaustausch auch sinnvoll. Aber ich kann meine ganzen VIs doch auch einfach packen, weiterverteilen und der neue Nutzer fügt sie von Hand in seine Palette ein in dem er eine Verknüpfung zu dem Ordner macht. Oder sehe ich das falsch?
Mache das bei meiner eingen SubVi Sammlung auch so...
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
19.12.2008, 19:47 (Dieser Beitrag wurde zuletzt bearbeitet: 19.12.2008 19:51 von rolfk.)
Beitrag #9

rolfk Offline
LVF-Guru
*****


Beiträge: 2.305
Registriert seit: Jun 2007

alle seit 6.0
1992
EN

2901GG
Niederlande
Package erstellen
' schrieb:Mhh, verstehe zwar generell worum es geht und finde nen einfachen Paketaustausch auch sinnvoll. Aber ich kann meine ganzen VIs doch auch einfach packen, weiterverteilen und der neue Nutzer fügt sie von Hand in seine Palette ein in dem er eine Verknüpfung zu dem Ordner macht. Oder sehe ich das falsch?
Mache das bei meiner eingen SubVi Sammlung auch so...

Nein Du siehst das grundsätzlich richtig. Man braucht keinen Packetmanager aber er vereinfacht das Ganze halt schon gewaltig. Solange es nur für Dich ist machts wohl keinen Unterschied. Wenn man aber ein Toolkit erstellt das man auch für andere zur Verfügung stellt, macht ein Package Manger halt schon Sinn.

Ich finde übrigens die Kritik von eg etwas übertrieben. Es gibt ja den von Dir gefundenen Package Builder. Der ist Open Source und funktioniert sogar für viele Dinge. Dass er verbesserungswürdig ist bestreite ich nicht aber wie es so oft ist: Um die letzten 10% der Funktionalität zu erreichen braucht es oft 90% der Zeit. Und dass jemand diese immense Investition nicht nur aus Menschenliebe machen will sollte ja nicht überraschen. Es steht schliesslich jedem frei mit den Sourcen des Package Builders und des OpenG Commanders eine eigene Version des VIPM zu machen und diese öffentlich mit Source Code zur Verfügung zu stellen Big Grin

Ich habe in den Beginnjahren mit Hilfe bei den verwendeten OpenG Toolkit Funktionen inklusieve des eigentlichen Packageformats und der Entwicklung der dazu nötigen lvzip Library geholfen. Zu einer Entwicklung einer Open Source VIPM fühle ich mich aber nicht gerufen Rolleyes

Rolf Kalbermatter

Rolf Kalbermatter
Technische Universität Delft, Dienst Elektronik und Mechanik
https://blog.kalbermatter.nl
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Wie lautet die LabView Package Manager Serveradresse RadioactiveMan 7 4.989 10.03.2014 09:40
Letzter Beitrag: RadioactiveMan
  VI Package Manager kennt meine LV Vision nicht! gangli1975h 5 4.766 28.11.2011 15:24
Letzter Beitrag: Y-P
  VI Package Manager Zauner 2 5.341 30.09.2011 14:59
Letzter Beitrag: Zauner
  OpenG ohne VI Package Manager Karotte 2 5.317 01.03.2011 14:58
Letzter Beitrag: Karotte
  VI Package Manager 3.0 und LabVIEW 2010 nookie 2 4.720 02.08.2010 06:55
Letzter Beitrag: nookie
  VI Package Manager fidel 2 5.608 29.09.2006 14:33
Letzter Beitrag: Oliver Listing

Gehe zu: