LabVIEWForum.de - Einstellung in "Signal simulieren"

LabVIEWForum.de

Normale Version: Einstellung in "Signal simulieren"
Du siehst gerade eine vereinfachte Darstellung unserer Inhalte. Normale Ansicht mit richtiger Formatierung.
Hallo zusammen,
ich habe ein Problem mit dem Freq.-Generator "Signal simulieren" bzw. mit den Einstellungen.

Wenn ich beispielsweise einen Sinus mit 1Hz und eine Abtastfreq. von 1000Hz einstelle, kommt an der Anzeige keine 1Hz heraus!

Der Sinus läuft so schnell wie möglich....
Als "Notlösung" habe ich eine Verzögerung eingebaut.

Wie bekomme ich das verflixte Ding im Griff? Welche Einstellungen sind bei mir falsch?

Ich bedanke mich im voraus fur Eure Hilfe.

Gruß
<div align="left">Hallo,

führe mal einen Doppelklick auf dem Express-VI Signal simulieren aus und ändere die Einstellungen so wie im Screenshot zu sehen:

[attachment=5754]

MfG, Jens</div>
Hallo,
manchmal hat man einfach nur "Tomaten" auf den Augen. Habe alle möglichen Einstellungen ausprobiert!

Vielen, vielen Dank für Deine Hilfe.

Noch eine Frage:
Gibt es beim Generator eine Funktion die die Zyklen zählt?

Danke.

Gruß
Jörg
Guten Tag ,
Meinst du die Zyklen die auf dem digital Oszilloskop über die Arrays aufgelöst werden?
Denke du musst nicht auf eine Antwort warten, dieser Thread ist über 6 Jahre alt Wink
Referenz-URLs