****** PlanAhead v13.4 (64-bit) **** Build 157570 by hdbuild on Fri Dec 16 13:06:31 MST 2011 ** Copyright 1986-1999, 2001-2011 Xilinx, Inc. All Rights Reserved. INFO: [Common-78] Attempting to get a license: PlanAhead INFO: [Common-82] Got a license: PlanAhead INFO: [Common-86] Your PlanAhead license expires in -563 day(s) INFO: [Device-25] Loading parts and site information from C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts\arch.xmlParsing RTL primitives file [C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml] Finished parsing RTL primitives file [C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts\xilinx\rtl\prims\rtl_prims.xml] source C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/planAheadScript.tcl # create_project -part xc5vlx30ff676-1 toplevel_gen C:/NIFPGA/jobs/G2K2D0O_KSw9nkc # add_files C:/NIFPGA/jobs/G2K2D0O_KSw9nkc # set_property top toplevel_gen [get_property srcset [current_run]] # open_rtl_design Design is defaulting to part: xc5vlx30ff676-1 INFO: [PlanAhead-58] Using Verific elaboration Parsing VHDL file "C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts\xilinx\rtl\lib\synplify\synattr.vhd" into library synplify Parsing VHDL file "C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts\xilinx\rtl\lib\synplify\synattr.vhd" into library synplify Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiUtilities.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgFxp.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgFxpArithmetic.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpShiftCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgFloat.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpEnableHandlerSlv.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDynamicShift.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgGray.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\PkgCcConstants.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\PkgBeatleSettings.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpEnableHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatToFixedCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpNormalize.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpAddSub.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopSLV.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\PkgRegs.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiLvPrims.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\PkgGlobalConst.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgFxpDivide.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'PkgCartridge.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCartridge.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCartridge.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'PkgBeatleTypedefs.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgBeatleTypedefs.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgBeatleTypedefs.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpCoerce.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatToFixed.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFixedToFloat.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivRnd.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivPreproc.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivInt.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopUnsigned.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopGray.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopBoolVec.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SyncFifoFlags.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PulseSyncBase.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrioInterface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCommIntConfiguration.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'PkgCcParameters.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCcParameters.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCcParameters.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\PkgCcHsiSettings.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvToInteger.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvToFloatingPoint.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvToFixedPoint.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaRegisterCoreBase.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaDualPortRam_Inferred.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\GenDataValid.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivSigned.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncAsyncInBase.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopSlvResetVal.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcSpiDither.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcBarrelShifter.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PulseSyncBool.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaUtilities.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaFifoGenericValue.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaCoresFifo.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrioCommInt.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9220.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCommunicationInterface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpMultiCycleEnableHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatMultiplyCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatDivideCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvCoerce.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaRegisterCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaPulseSyncBaseWrapper.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoFlags.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaDualPortRam.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\HandshakeBase.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncBase.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopFallingEdge.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcSpiDitherHardcodable.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcSourceSynchFalling.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcSourceSynch.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcMuxSL4.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcMuxSL3.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcMuxSL2.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'CcHsOutputLogic.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsOutputLogic.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsOutputLogic.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'CcHsInputLogic.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsInputLogic.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsInputLogic.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaFifo.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaBoolOp.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrioCiHsiEngine.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrioCal.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9403IoNode.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9264Cal.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9220Cal.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9213.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9205.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpMultiply.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpDivide.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatMultiply.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatDivide.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatCompareCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFlipFlopFifo.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoPortReset.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifo.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\HandshakeBool.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoWriteAdapter.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoReadAdapter.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FeedbackNonSctlCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncBool.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DmaMiteWriteRegs.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DmaMiteReadRegs.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DmaDisabler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopBoolFallingEdge.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopBool.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioParallelCrcCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiSpiConfig.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiPinConfig.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiModeSelectorControl.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CpuDataWr.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CpuDataRd.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'CcSpiLogic.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcSpiLogic.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcSpiLogic.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'CcMuxSLN.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcMuxSLN.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcMuxSLN.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. Parsing VHDL file ".\.Xil-PlanAhead-5044-\xlicmgr\CcInputFlop.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'CcHsOutput.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsOutput.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsOutput.vhd" into library work Release 13.4 - Xilinx License Manager (xlicmgr) O.87xd (nt64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. ERROR:coreutil - ios failure ERROR:sim:928 - Could not open destination 'CcHsInput.vhd' for writing. WARNING: [Netlist-8] Can not read encrypted file 'C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsInput.vhd' because xlicmgr command failed; please check the xlicmgr messages at the terminalParsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsInput.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\TimeoutManager.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ResetSync.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PulseSyncSL.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9264.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9213Cal.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9211Cal.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9211.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9205Cal.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvMultiply.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpCompare.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatCompare.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvDivide.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaSimpleModuloCounter.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaSimpleCounter.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaShiftReg.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaMiteWriteInterface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaMiteReadInterface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaMergeErrors.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaLocalResHolderWrite.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoPopBuffer.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoClearControl.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaBoolOp.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\HandshakeSLV.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FloatingFeedbackGInit.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncSL.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStockSpiEngine.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStockModuleId.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStockModeSelector.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStockEepromRead.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioSourceSync.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioEepromRead.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiSpiEngine.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiPulseMask.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiPicoBeatleInit.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiOutputMuxes.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiModeSelector.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiInputFlops.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiInitSequence.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiHsiEngine.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiEeprom.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiCrcCheck.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiCartridgeIdentification.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiCartridgeDetection.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403SpiWord.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403LdHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403DoIoNode.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403DioHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403DiIoNode.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9264IoNode.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264CalReciprocal.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223IoNodeResHolder.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220GetCalConst.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213IoNode.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211IoNode.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205_io.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205GenScanLine.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_202.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_189.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_185.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_173.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_132.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arraycollect_232.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arraycollect_187.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arraycollect_175.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arraycollect_136.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\RegisterAccess32.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaIrqRegisters.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9223Shared.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvCompare.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaLoopTimer.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoCountControl.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaBoolOpNot.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e9_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e2_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000c8_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000c4_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000c0_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000bc_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000b8_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000b4_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000b0_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000ac_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000092_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000082_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005d_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002d_CaseStructure_64.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000021_CaseStructureFrame_0001.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000021_CaseStructureFrame_0000.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000013_CaseStructureFrame_0001.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000013_CaseStructureFrame_0000.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaOutput.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaInput.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaComponentEnableChain.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\HandshakeBaseResetCross.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\forloop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\EnableChainSM.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncSlAsyncIn.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStock.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCommInt.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioCalParallelCrc.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioCalMultiply.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioCalMemory.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403EnableChainHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403CommHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264UpdateHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264IoHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264GetCalConst.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264EnableChainHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264CalData.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223SampleValidDelay.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223SampleSerializer.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223IoHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223HseioReadHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223ErrorDecode.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223EnableChainHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223ClockCrossing.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220SampleCounter.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220Initializer.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220CalData.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213UpdateHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213IoHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213GetCalConst.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213FxpScaleData.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213EnableChainHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211IoHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211GetCalConst.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211EnableChainHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211CalData.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9208IoHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205SetTriggers.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205SettingRegs.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205IoHandler.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205GetCalConst.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205ControlSm.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205CommSm.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205CalData.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ArrayIndexNode_27.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ArrayIndexNode_246.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Adapter16.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SubVICtlOrIndOpt.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SubVICtlOrInd.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\resholder_w_opt.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\resholder_r_opt.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\RegisterAccess.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaLocalResHolderRead.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbPowerOf2.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e1_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000df_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000008f_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005c_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005a_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000032_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002e_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000020_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000012_ForLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteWriteInterface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteReadInterface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteIrq.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteInterfaceOutputEnables.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaComponent.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncBoolAsyncIn.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\whileloop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\subMinArray_vi.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\subMaxArray_vi_colon_Clone0.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SafeBusCrossing.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgRegister.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaViControlRegister.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaArbiter.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaRegFrameworkShiftReg.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaHostAccessibleRegister.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbSerializeAccess.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbDelayer.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000fb_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000de_WhileLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000008e_WhileLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000059_WhileLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000004b_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000042_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000001_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteInterface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403ResourceCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9264ResourceCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220SyncResourceLogic.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220ResourceCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213ResourceCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211ResourceCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9205ResourceCore.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ViSignature.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ViControl.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\TopEnablePassThru.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Sleep.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaCtrlIndRegister.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaClockManagerControl.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000010d_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000058_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000000_WhileLoop.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\InvisibleResholder.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\IDSel_Timer.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DiagramReset.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForTopEnablesPortOnResTopEnablePassThru.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForTmax_ctl_5RHFpgaReadPortOnResbushold.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForMiteIoLikePortOnResInterface.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbFordinPortOnResSleep.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForAnsteuerung_beenden_ctl_0RHFpgaReadPortOnResbushold.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403SyncResource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403Resource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9264SyncResource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9264Resource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220SyncResource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220Resource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213SyncResource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213Resource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211SyncResource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211Resource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205_SyncRes.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205_Resource.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio80MhzClkRes.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\bushold.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaStockDcm.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_Speichern4_dash_2FPGA.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\TheWindow.vhd" into library work Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\toplevel_gen.vhd" into library work CRITICAL WARNING: [EDIF-96] Could not resolve non-primitive black box cell 'NiLvXipFloat32Multiply' defined in file 'NiLvFloatMultiplyCore.vhd' instantiated as 'GenFloat32Multiply.XilinxFloat32Multiply'. CRITICAL WARNING: [EDIF-96] Could not resolve non-primitive black box cell 'NiLvXipFloat32Divide' defined in file 'NiLvFloatDivideCore.vhd' instantiated as 'GenFloat32Divide.XilinxFloat32Divide'. CRITICAL WARNING: [EDIF-96] Could not resolve non-primitive black box cell 'InvisibleResholder(2,1)' defined in file 'InvisibleResholder.vhd' instantiated as 'n_InvisibleResholder'. INFO: [PlanAhead-430] Reading macro library C:/NIFPGA/programs/Xilinx13_4/PlanAhead\./parts/xilinx/virtex5/virtex5lx/hd_int_macros.edn Parsing EDIF File [C:/NIFPGA/programs/Xilinx13_4/PlanAhead\./parts/xilinx/virtex5/virtex5lx/hd_int_macros.edn] Finished Parsing EDIF File [C:/NIFPGA/programs/Xilinx13_4/PlanAhead\./parts/xilinx/virtex5/virtex5lx/hd_int_macros.edn] INFO: [Device-21] Reading bus macro file C:/NIFPGA/programs/Xilinx13_4/PlanAhead\./parts/xilinx/virtex5/pr_bus_macros.xmlLoading clock regions from C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts/xilinx/virtex5/virtex5lx/xc5vlx30/ClockRegion.xml Loading clock buffers from C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts/xilinx/virtex5/virtex5lx/xc5vlx30/ClockBuffers.xml Loading package from C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts/xilinx/virtex5/virtex5lx/xc5vlx30/ff676/Package.xml Loading io standards from C:/NIFPGA/programs/Xilinx13_4/PlanAhead\./parts/xilinx/virtex5/IOStandards.xml INFO: [Device-19] Loading pkg sso from C:/NIFPGA/programs/Xilinx13_4/PlanAhead\parts/xilinx/virtex5/virtex5lx/xc5vlx30/ff676/SSORules.xml Loading list of drcs for the architecture : C:/NIFPGA/programs/Xilinx13_4/PlanAhead\./parts/xilinx/virtex5/drc.xml INFO: [PlanAhead-566] Unisim Transformation Summary: No Unisim elements were transformed.open_rtl_design: Time (s): 60.394w. Memory (MB): 564.551p 503.594g # report_resources -file toplevel_gen_planAheadResults.xml -format xml INFO: [Designutils-301] Performing resource estimation on toplevel_gen targeting virtex5... INFO: [Designutils-286] Found 19317 primitives in netlist INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkOut.SyncIReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkOut.SyncOReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkOut.SyncOReset/c2ResetLcl. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.SyncIReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.SyncOReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.SyncOReset/c2ResetLcl. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.oPushToggle1. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkRdy.iRdyPushToggle. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkRdy.iReset. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.oPushToggle1. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkRdy.iRdyPushToggle. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkRdy.iReset. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/BlkOut.SyncIReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/BlkOut.SyncOReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/BlkOut.SyncOReset/c2ResetLcl. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqNum/BlkOut.SyncIReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqNum/BlkOut.SyncOReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqNum/BlkOut.SyncOReset/c2ResetLcl. Adding 1 LUTs and 2 Flops to estimation. WARNING: [Designutils-308] Using distributed memory since read port window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/cRioCalMemoryx/cCalMemData is asynchronous. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiCartridgeDetectionx/cCartDetOverrideDone. Adding 0 LUTs and 1 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiSpiEnginex/SpiEnabled.CcSpiLogicx/oIgnoreFallingEdgeStrobeDelayed. Adding 1 LUTs and 2 Flops to estimation. WARNING: [Designutils-308] Using distributed memory since read port window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRio9264CalData/cList is asynchronous. WARNING: [Designutils-308] Using distributed memory since read port window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioCalMemory/cCalMemData is asynchronous. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/BlkOut.SyncIReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/BlkOut.SyncOReset/c2ResetLcl. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/BlkOut.SyncIReset/c1ResetFromOClk. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/BlkOut.SyncOReset/c2ResetLcl. Adding 1 LUTs and 2 Flops to estimation. WARNING: [Designutils-308] Using distributed memory since read port window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioCalMemory/cCalMemData is asynchronous. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cDone_n. Adding 1 LUTs and 2 Flops to estimation. WARNING: [Designutils-308] Using distributed memory since read port window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioCalMemory/cCalMemData is asynchronous. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cDone_n. Adding 1 LUTs and 2 Flops to estimation. WARNING: [Designutils-308] Using distributed memory since read port window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioCalMemory/cCalMemData is asynchronous. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cDone_n. Adding 1 LUTs and 2 Flops to estimation. WARNING: [Designutils-308] Using distributed memory since read port window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/Gen9213ModuleSpecific.cRioCalMemoryx/cCalMemData is asynchronous. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/cDone_n. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205CommSmx/GenerateSsClkShiftReg.CrioSourceSync/oMiso. Adding 1 LUTs and 2 Flops to estimation. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cReset. Adding 1 LUTs and 2 Flops to estimation. WARNING: [Designutils-308] Using distributed memory since read port window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRioCalMemoryx/cCalMemData is asynchronous. INFO: [Designutils-295] Found reset/set on shift register ending at window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cTrig. Adding 0 LUTs and 1 Flops to estimation. INFO: [Designutils-303] Reporting estimation... INFO: [Designutils-284] Found 7 multiplexers consumed by BlockRAM ports INFO: [Designutils-287] Found 3 registers consumed by BlockRAM ports INFO: [Designutils-300] Optimized 1820 multiplexer trees INFO: [Designutils-298] Merged 218 multiplexers with driving combinational logic INFO: [Designutils-285] Found 644 multiplexers used for register control logic INFO: [Designutils-296] Inferred 45 shift registers using 45 SRL32s INFO: [Designutils-275] 2-bit shift registers : 45 INFO: [Designutils-289] Found 79 registers consumed by IOBs INFO: [Designutils-288] Found 6 registers consumed by DSP48s INFO: [Designutils-297] Merged 1359 bitwise logic elements INFO: [Designutils-283] Found 390 elements classified as dangling or disabled logic INFO: [Designutils-282] Found 39 ROMs WARNING: [Designutils-278] Estimation does not include 4 empty CellViews (see warnings) INFO: [Designutils-277] Completed resource estimation on toplevel_gen - Slices: 9770, LUTs: 26184, Flops: 20089, BRAMs: 18, DSP48s: 6 INFO: [PlanAhead-261] Exiting PlanAhead... INFO: [Common-83] Releasing license: PlanAhead toplevel_gen INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Adapter16.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_246.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_27.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataRd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataWr.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio80MhzClkRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9205ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9208IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213FxpScaleData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Initializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SampleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResourceLogic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ClockCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ErrorDecode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223HseioReadHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoNodeResHolder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleSerializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleValidDelay.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403CommHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DiIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DioHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DoIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403LdHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SpiWord.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeDetection.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeIdentification.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCrcCheck.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiEeprom.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInitSequence.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInputFlops.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelectorControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiOutputMuxes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPicoBeatleInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPinConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPulseMask.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioClockCondition.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioParallelCrcCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioSourceSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForAnsteuerung_beenden_ctl_0RHFpgaRe adPortOnResbushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForMiteIoLikePortOnResInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTmax_ctl_5RHFpgaReadPortOnResbush old.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTopEnablesPortOnResTopEnablePassT hru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbFordinPortOnResSleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolVec.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSlvResetVal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopUnsigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DiagramReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaDisabler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteReadRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteWriteRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncAsyncInBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBoolAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSlAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainSM.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainWithTimeout.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FeedbackNonSctlCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoReadAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoWriteAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FloatingFeedbackGInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpAddSub.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivPreproc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivRnd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivSigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDynamicShift.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpNormalize.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpShiftCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/GenDataValid.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBaseResetCross.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/IDSel_Timer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Interface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/InvisibleResholder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponent.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponentEnableChain.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaInput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaOutput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterfaceOutputEnables.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteIrq.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000001_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000012_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000020_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructure_64.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002e_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000032_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000041_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000042_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000004b_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000058_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000059_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005a_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005c_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000082_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008e_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008f_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000091_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000092_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000ac_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000bc_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000de_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000df_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e1_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e2_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e9_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000fb_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000010d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_Speichern4_dash_2FPGA.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbDelayer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbPowerOf2.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbRW.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbSerializeAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOpNot.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaClockManagerControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaCtrlIndRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam_Inferred.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoClearControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoCountControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPopBuffer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPortReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFlipFlopFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaHostAccessibleRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderWrite.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLoopTimer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMergeErrors.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPipelinedOrGateTreeSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPulseSyncBaseWrapper.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegFrameworkShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCoreBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleModuloCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaStockDcm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFixedToFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompareCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivideCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiplyCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixed.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixedCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandlerSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiCycleEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFixedPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFloatingPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToInteger.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Divide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Equal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Greater.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32GreaterOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Less.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32LessOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Multiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32NotEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommIntConfiguration.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommunicationInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9211Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9223Shared.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9403IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpArithmetic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaArbiter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaCoresFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifoGenericValue.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaIrqRegisters.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaViControlRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiLvPrims.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess32.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ResetSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SafeBusCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Sleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrInd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrIndOpt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SyncFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TheWindow.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TimeoutManager.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TopEnablePassThru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViSignature.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_132.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_173.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_185.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_189.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_202.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_136.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_175.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_187.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_232.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/bushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CommSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205ControlSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GenScanLine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SetTriggers.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SettingRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_SyncRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_io.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalReciprocal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMemory.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalParallelCrc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStock.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModuleId.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/forloop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_r_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_w_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMaxArray_vi_colon_Clone0.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMinArray_vi.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/whileloop.vhd" into library work INFO:ProjectMgmt - Parsing design hierarchy completed successfully. WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl INFO:TclTasksC:2116 - The automatic calculation of top has been turned-off. Please set the new top explicitly by running the "project set top" command. To re-calculate the new top automatically, set the "Auto Implementation Top" property to true. Started : "Synthesize - XST". Running xst... Command Line: xst -intstyle ise -ifn "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.xst" -ofn "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.syr" Reading design: toplevel_gen.prj INFO:Xst - Part-select index evaluated to out of bound value may lead to incorrect synthesis results; it is recommended not to use them in RTL ========================================================================= * HDL Parsing * ========================================================================= WARNING:Xst:2838 - Path definition '$XILINX/verilog/xst/nt/cpld_det_ver' in file C:/NIFPGA/programs/Xilinx13_4/ISE/vhdl/xst/nt/hdc.ini is invalid and being ignored. Check the path and ensure that any environment variable specification is legal. WARNING:Xst:2838 - Path definition '$XILINX/verilog/xst/nt/unimacro_ver' in file C:/NIFPGA/programs/Xilinx13_4/ISE/vhdl/xst/nt/hdc.ini is invalid and being ignored. Check the path and ensure that any environment variable specification is legal. WARNING:Xst:2838 - Path definition '$XILINX/verilog/xst/nt/unisim_ver' in file C:/NIFPGA/programs/Xilinx13_4/ISE/vhdl/xst/nt/hdc.ini is invalid and being ignored. Check the path and ensure that any environment variable specification is legal. Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiUtilities.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgFxp.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgFxpArithmetic.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpShiftCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgFloat.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpEnableHandlerSlv.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDynamicShift.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgGray.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCcConstants.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgBeatleSettings.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpEnableHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatToFixedCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpNormalize.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpAddSub.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopSLV.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgRegs.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiLvPrims.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgGlobalConst.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgFxpDivide.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCartridge.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgBeatleTypedefs.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpCoerce.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatToFixed.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFixedToFloat.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivRnd.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivPreproc.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivInt.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopUnsigned.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopGray.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopBoolVec.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SyncFifoFlags.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PulseSyncBase.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrioInterface.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCommIntConfiguration.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCcParameters.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCcHsiSettings.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvToInteger.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvToFloatingPoint.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvToFixedPoint.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaRegisterCoreBase.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaDualPortRam_Inferred.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\GenDataValid.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivSigned.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncAsyncInBase.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopSlvResetVal.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcSpiDither.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcBarrelShifter.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PulseSyncBool.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaUtilities.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaFifoGenericValue.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaCoresFifo.vhd" into library work Parsing package . Parsing package body . WARNING:HDLCompiler:443 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaCoresFifo.vhd" Line 41: Function returnmitedmareadmode does not always return a value. Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrioCommInt.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9220.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCommunicationInterface.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpMultiCycleEnableHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatMultiplyCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatDivideCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvCoerce.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaRegisterCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaPulseSyncBaseWrapper.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoFlags.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaDualPortRam.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\HandshakeBase.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FxpDivCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncBase.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopFallingEdge.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcSpiDitherHardcodable.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcSourceSynchFalling.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcSourceSynch.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcMuxSL4.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcMuxSL3.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcMuxSL2.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsOutputLogic.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsInputLogic.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaFifo.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaBoolOp.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrioCiHsiEngine.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrioCal.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9403IoNode.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9264Cal.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9220Cal.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9213.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9205.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpMultiply.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpDivide.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatMultiply.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatDivide.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatCompareCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFlipFlopFifo.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoPortReset.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifo.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\HandshakeBool.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoWriteAdapter.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoReadAdapter.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FeedbackNonSctlCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncBool.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DmaMiteWriteRegs.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DmaMiteReadRegs.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DmaDisabler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopBoolFallingEdge.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DFlopBool.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioParallelCrcCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiSpiConfig.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiPinConfig.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiModeSelectorControl.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CpuDataWr.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CpuDataRd.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcSpiLogic.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcMuxSLN.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcInputFlop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsOutput.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcHsInput.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\TimeoutManager.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ResetSync.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PulseSyncSL.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9264.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9213Cal.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9211Cal.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9211.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgcRio9205Cal.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvMultiply.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpCompare.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatCompare.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvDivide.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaSimpleModuloCounter.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaSimpleCounter.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaShiftReg.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaMiteWriteInterface.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaMiteReadInterface.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaMergeErrors.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaLocalResHolderWrite.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoPopBuffer.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoClearControl.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaBoolOp.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\HandshakeSLV.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FloatingFeedbackGInit.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncSL.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStockSpiEngine.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStockModuleId.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStockModeSelector.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStockEepromRead.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioSourceSync.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioEepromRead.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiSpiEngine.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiPulseMask.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiPicoBeatleInit.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiOutputMuxes.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiModeSelector.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiInputFlops.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiInitSequence.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiHsiEngine.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiEeprom.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiCrcCheck.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiCartridgeIdentification.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiCartridgeDetection.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403SpiWord.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403LdHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403DoIoNode.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403DioHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403DiIoNode.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9264IoNode.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264CalReciprocal.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223IoNodeResHolder.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220GetCalConst.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213IoNode.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211IoNode.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205_io.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205GenScanLine.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_202.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_189.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_185.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_173.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_132.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arraycollect_232.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arraycollect_187.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arraycollect_175.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arraycollect_136.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\RegisterAccess32.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaIrqRegisters.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgCrio9223Shared.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvCompare.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaLoopTimer.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoCountControl.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaBoolOpNot.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e9_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e2_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000c8_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000c4_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000c0_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000bc_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000b8_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000b4_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000b0_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000ac_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000092_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000082_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005d_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002d_CaseStructure_64.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000021_CaseStructureFrame_0001.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000021_CaseStructureFrame_0000.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000013_CaseStructureFrame_0001.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000013_CaseStructureFrame_0000.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaOutput.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaInput.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaComponentEnableChain.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\HandshakeBaseResetCross.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\forloop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\EnableChainSM.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncSlAsyncIn.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioStock.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCommInt.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioCalParallelCrc.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioCalMultiply.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRioCalMemory.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403EnableChainHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403CommHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264UpdateHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264IoHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264GetCalConst.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264EnableChainHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264CalData.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223SampleValidDelay.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223SampleSerializer.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223IoHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223HseioReadHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223ErrorDecode.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223EnableChainHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9223ClockCrossing.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220SampleCounter.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220Initializer.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220CalData.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213UpdateHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213IoHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213GetCalConst.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213FxpScaleData.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213EnableChainHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211IoHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211GetCalConst.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211EnableChainHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211CalData.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9208IoHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205SetTriggers.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205SettingRegs.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205IoHandler.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205GetCalConst.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205ControlSm.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205CommSm.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205CalData.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ArrayIndexNode_27.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ArrayIndexNode_246.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Adapter16.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SubVICtlOrIndOpt.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SubVICtlOrInd.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\resholder_w_opt.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\resholder_r_opt.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\RegisterAccess.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaLocalResHolderRead.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbPowerOf2.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e1_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000df_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000008f_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005c_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005a_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000032_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002e_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000020_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000012_ForLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteWriteInterface.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteReadInterface.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteIrq.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteInterfaceOutputEnables.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaComponent.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DoubleSyncBoolAsyncIn.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9264.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\whileloop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\subMinArray_vi.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\subMaxArray_vi_colon_Clone0.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SafeBusCrossing.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgRegister.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaViControlRegister.vhd" into library work Parsing package . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaArbiter.vhd" into library work Parsing package . Parsing package body . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaRegFrameworkShiftReg.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaHostAccessibleRegister.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbSerializeAccess.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbDelayer.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000fb_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000de_WhileLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000008e_WhileLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000059_WhileLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000004b_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000042_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000001_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteInterface.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403ResourceCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9264ResourceCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220SyncResourceLogic.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220ResourceCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213ResourceCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211ResourceCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9205ResourceCore.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ViSignature.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ViControl.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\TopEnablePassThru.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Sleep.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaCtrlIndRegister.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaClockManagerControl.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000010d_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000058_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000000_WhileLoop.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\InvisibleResholder.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\IDSel_Timer.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DiagramReset.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForTopEnablesPortOnResTopEnablePassThru.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForTmax_ctl_5RHFpgaReadPortOnResbushold.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForMiteIoLikePortOnResInterface.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbFordinPortOnResSleep.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForAnsteuerung_beenden_ctl_0RHFpgaReadPortOnResbushold.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403SyncResource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9403Resource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9264SyncResource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9264Resource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220SyncResource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9220Resource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213SyncResource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213Resource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211SyncResource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211Resource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205_SyncRes.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205_Resource.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio80MhzClkRes.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\bushold.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaStockDcm.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_Speichern4_dash_2FPGA.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\TheWindow.vhd" into library work Parsing entity . Parsing architecture of entity . Parsing VHDL file "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\toplevel_gen.vhd" into library work Parsing entity . Parsing architecture of entity . ========================================================================= * HDL Elaboration * ========================================================================= Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaClockManagerControl.vhd" Line 331. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:89 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\TheWindow.vhd" Line 494: remains a black-box since it has no binding entity. Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000000_WhileLoop.vhd" Line 119: Using initial value "000000000000000000000000000000000" for output_15 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000000_WhileLoop.vhd" Line 143: Using initial value "0" for s_constant_3877 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000000_WhileLoop.vhd" Line 150: Using initial value "00111100" for s_maxtemperaturzelle_3869 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000000_WhileLoop.vhd" Line 151: Using initial value "000111011001100110011010" for s_maxspannungzelle_6105 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000000_WhileLoop.vhd" Line 160: Using initial value "101100000000000000000000" for s_y_6111 since it is never assigned Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\subMaxArray_vi_colon_Clone0.vhd" Line 26: Using initial value "00000000000000000000000000001110" for output since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\subMaxArray_vi_colon_Clone0.vhd" Line 29: Using initial value "00000000000000000000000000000000" for s_constant_260 since it is never assigned Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFxpCompare.vhd" Line 92: Using initial value "0" for cdummyfxp since it is never assigned Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000012_ForLoop.vhd" Line 212. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\forloop.vhd" Line 52: Range is empty (null range) WARNING:HDLCompiler:220 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\forloop.vhd" Line 199: Assignment ignored Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\subMinArray_vi.vhd" Line 25: Using initial value "00000000000000000000000000001110" for output since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\subMinArray_vi.vhd" Line 28: Using initial value "00000000000000000000000000000000" for s_constant_211 since it is never assigned Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000020_ForLoop.vhd" Line 212. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd" Line 29: Using initial value "00101000000000000000" for s_mod6_slash_ao_7599 since it is never assigned Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd" Line 29: Using initial value "00000000000000000000" for s_mod6_slash_ao_7582 since it is never assigned Elaborating entity (architecture ) from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000000_WhileLoop.vhd" Line 650. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 80: Using initial value "000000000000000000000000000000000" for output_7 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 87: Using initial value "000000000000000000000000000000000" for output_14 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 88: Using initial value "0" for s_ansteuerung_beenden_6099 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 93: Using initial value "0" for s_constant_2685 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 94: Using initial value "1" for s_mod3_slash_dio_2682 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 95: Using initial value "0" for s_mod3_slash_dio_2679 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 96: Using initial value "0" for s_mod3_slash_dio_2675 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 99: Using initial value "0" for s_constant_1798 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 100: Using initial value "1" for s_mod3_slash_dio_549 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 101: Using initial value "0" for s_mod3_slash_dio_2373 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000041_SequenceFrame.vhd" Line 102: Using initial value "0" for s_mod3_slash_dio_2440 since it is never assigned Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000058_SequenceFrame.vhd" Line 389: Using initial value "0" for s_lastausge4nge_aktiv_setzen_2793 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000058_SequenceFrame.vhd" Line 392: Using initial value "00000000000000000000" for s_strom_quelle_2781 since it is never assigned Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005a_SequenceFrame.vhd" Line 23: Using initial value "00000000000000000000001111101000" for s_aufnahmezeit_temp_ms_1482 since it is never assigned Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:92 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaSimpleModuloCounter.vhd" Line 41: cenable should be on the sensitivity list of the process Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005c_SequenceFrame.vhd" Line 166: Using initial value "00000000000000000000000000100010" for output since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000005c_SequenceFrame.vhd" Line 201: Using initial value "000000000000000000000000000000000" for output_36 since it is never assigned Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000082_ForLoop.vhd" Line 35: Using initial value "000000000000000000000000000000000" for output_2 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000082_ForLoop.vhd" Line 36: Using initial value "0" for s_constant_1419 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000082_ForLoop.vhd" Line 43: Using initial value "00000000000000000000000000000000" for s_timeout_1434 since it is never assigned Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_132.vhd" Line 37: Assignment to zro ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000008f_SequenceFrame.vhd" Line 23: Using initial value "00000000000000000000001111101000" for s_aufnahmezeit_daten_ms_11815 since it is never assigned Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 130: Using initial value "00000000000000000000000000010111" for output since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 131: Using initial value "00000000000000000000000000001110" for output_2 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 132: Using initial value "00000000000000000000000000000011" for output_3 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 133: Using initial value "00000000000000000000000000000011" for output_4 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 134: Using initial value "00000000000000000000000000000011" for output_5 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 135: Using initial value "00000000000000000000000000000011" for output_6 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 136: Using initial value "00000000000000000000000000000011" for output_7 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 137: Using initial value "00000000000000000000000000000011" for output_8 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 161: Using initial value "000000000000000000000000000000000" for output_32 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 241: Using initial value "01000000110000000000000000000000" for s_y_3241 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 253: Using initial value "01000001001000000000000000000000" for s_y_3010 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_00000091_SequenceFrame.vhd" Line 265: Using initial value "00111011101001000000000000000000" for s_y_4343 since it is never assigned Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiUtilities.vhd" Line 377: Range is empty (null range) Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_173.vhd" Line 37: Assignment to zro ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_185.vhd" Line 37: Assignment to zro ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_189.vhd" Line 37: Assignment to zro ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:89 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatMultiplyCore.vhd" Line 52: remains a black-box since it has no binding entity. Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:89 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiLvFloatDivideCore.vhd" Line 52: remains a black-box since it has no binding entity. Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000c8_ForLoop.vhd" Line 37: Using initial value "0" for s_constant_1145 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000c8_ForLoop.vhd" Line 44: Using initial value "00000000000000000000000000000000" for s_timeout_1172 since it is never assigned Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\arrayLpIndx_202.vhd" Line 37: Assignment to zro ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000df_SequenceFrame.vhd" Line 25: Using initial value "00000000000000000000001111101000" for s_ansteuerzeit_ms_11653 since it is never assigned Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e1_SequenceFrame.vhd" Line 72: Using initial value "00000000000000000000000000000100" for output_9 since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e1_SequenceFrame.vhd" Line 73: Using initial value "1" for s_lastausge4nge_aktiv_setzen_561 since it is never assigned Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_000000e2_ForLoop.vhd" Line 44: Using initial value "00000000000000000000000000000000" for s_timeout_5988 since it is never assigned Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaAG_0000010d_SequenceFrame.vhd" Line 25: Using initial value "1" for s_reset_4054 since it is never assigned Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\bushold.vhd" Line 784: Assignment to miteclkwidewrite ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213SyncResource.vhd" Line 177: Net does not have a driver. Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 101: Using initial value (('U','U',"UUUUU")) for birqoutarray since it is never assigned WARNING:HDLCompiler:871 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 103: Using initial value ("UU") for birqstatusoutarray since it is never assigned Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaComponentEnableChain.vhd" Line 221. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoClearControl.vhd" Line 229. Case statement is complete. others clause is never selected Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoReadAdapter.vhd" Line 87: Range is empty (null range) WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoReadAdapter.vhd" Line 195: Net does not have a driver. Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaComponent.vhd" Line 90: Net does not have a driver. Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaComponentEnableChain.vhd" Line 221. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteDmaComponentEnableChain.vhd" Line 221. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoClearControl.vhd" Line 229. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoWriteAdapter.vhd" Line 93: Range is empty (null range) WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoWriteAdapter.vhd" Line 119: Range is empty (null range) WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\FifoWriteAdapter.vhd" Line 179: Net does not have a driver. Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:92 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaFifoPopBuffer.vhd" Line 209: cpendingpushes should be on the sensitivity list of the process Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\MiteIrq.vhd" Line 337. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 83: Net does not have a driver. WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 84: Net does not have a driver. WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 86: Net does not have a driver. WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 87: Net does not have a driver. WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 89: Net does not have a driver. WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 92: Net does not have a driver. WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 94: Net does not have a driver. WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 99: Net does not have a driver. WARNING:HDLCompiler:634 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Interface.vhd" Line 104: Net does not have a driver. Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:89 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CcMuxSL2.vhd" Line 46: remains a black-box since it has no binding entity. Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CrioCiCartridgeIdentification.vhd" Line 146: Assignment to ccartidbusy ignored, since the identifier is never used Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SafeBusCrossing.vhd" Line 215. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\ViControl.vhd" Line 562. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\SafeBusCrossing.vhd" Line 215. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\DiagramReset.vhd" Line 670. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaCtrlIndRegister.vhd" Line 56: Range is empty (null range) WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaCtrlIndRegister.vhd" Line 57: Range is empty (null range) Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaCtrlIndRegister.vhd" Line 59: Range is empty (null range) WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaCtrlIndRegister.vhd" Line 60: Range is empty (null range) Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9211IoHandler.vhd" Line 498. Case statement is complete. others clause is never selected Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213FxpScaleData.vhd" Line 203: Range is empty (null range) WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213FxpScaleData.vhd" Line 206: Range is empty (null range) WARNING:HDLCompiler:220 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Crio9213FxpScaleData.vhd" Line 203: Assignment ignored Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205GenScanLine.vhd" Line 143. Case statement is complete. others clause is never selected INFO:HDLCompiler:679 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\cRio9205GenScanLine.vhd" Line 212. Case statement is complete. others clause is never selected Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Sleep.vhd" Line 76: Assignment to din_enable_clr ignored, since the identifier is never used WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\Sleep.vhd" Line 77: Assignment to din_enable_in ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 91: Range is empty (null range) WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 92: Range is empty (null range) WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 101: Range is empty (null range) Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 371: Range is empty (null range) WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 369: Range is empty (null range) WARNING:HDLCompiler:220 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 369: Assignment ignored WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 379: Range is empty (null range) WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 377: Range is empty (null range) WARNING:HDLCompiler:220 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 377: Assignment ignored WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaArbiter.vhd" Line 59: Range is empty (null range) WARNING:HDLCompiler:220 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\PkgNiFpgaArbiter.vhd" Line 77: Assignment ignored WARNING:HDLCompiler:220 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 384: Assignment ignored WARNING:HDLCompiler:746 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 479: Range is empty (null range) WARNING:HDLCompiler:220 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\NiFpgaArbRW.vhd" Line 479: Assignment ignored Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc\CustomArbForMiteIoLikePortOnResInterface.vhd" Line 53: Assignment to interfaceclockregportin ignored, since the identifier is never used Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library . ========================================================================= * HDL Synthesis * ========================================================================= Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd". Set property "OPTIMIZE = OFF" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd" line 565: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd" line 565: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd" line 565: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd" line 565: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd" line 565: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd" line 565: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd" line 565: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/toplevel_gen.vhd" line 565: Output port of the instance is unconnected or connected to loadless signal. Always blocking tristate driving signal > is removed. Always blocking tristate driving signal > is removed. Always blocking tristate driving signal > is removed. Always blocking tristate driving signal > is removed. Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal > created at line 319 Found 1-bit tristate buffer for signal created at line 359 Found 1-bit tristate buffer for signal created at line 563 Summary: inferred 34 Tristate(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd". Set property "S = TRUE" for signal . Set property "S = TRUE" for signal . Set property "syn_keep = true" for signal . Set property "syn_maxfan = 100000000" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/thewindow.vhd" line 1246: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit tristate buffer for signal created at line 158 Found 1-bit tristate buffer for signal created at line 162 Found 1-bit tristate buffer for signal created at line 166 Found 1-bit tristate buffer for signal created at line 170 Found 1-bit tristate buffer for signal created at line 174 Found 1-bit tristate buffer for signal created at line 178 Found 1-bit tristate buffer for signal created at line 182 Found 1-bit tristate buffer for signal created at line 186 Found 1-bit tristate buffer for signal created at line 190 Found 1-bit tristate buffer for signal created at line 194 Found 1-bit tristate buffer for signal created at line 198 Found 1-bit tristate buffer for signal created at line 202 Found 1-bit tristate buffer for signal created at line 206 Found 1-bit tristate buffer for signal created at line 210 Found 1-bit tristate buffer for signal created at line 214 Found 1-bit tristate buffer for signal created at line 218 Found 1-bit tristate buffer for signal created at line 222 Found 1-bit tristate buffer for signal created at line 226 Found 1-bit tristate buffer for signal created at line 230 Found 1-bit tristate buffer for signal created at line 234 Found 1-bit tristate buffer for signal created at line 238 Found 1-bit tristate buffer for signal created at line 242 Found 1-bit tristate buffer for signal created at line 246 Found 1-bit tristate buffer for signal created at line 250 Found 1-bit tristate buffer for signal created at line 254 Found 1-bit tristate buffer for signal created at line 258 Found 1-bit tristate buffer for signal created at line 262 Found 1-bit tristate buffer for signal created at line 266 Found 1-bit tristate buffer for signal created at line 270 Found 1-bit tristate buffer for signal created at line 274 Found 1-bit tristate buffer for signal created at line 278 Found 1-bit tristate buffer for signal created at line 282 Found 1-bit tristate buffer for signal created at line 286 Found 1-bit tristate buffer for signal created at line 290 Found 1-bit tristate buffer for signal created at line 294 Found 1-bit tristate buffer for signal created at line 298 Found 1-bit tristate buffer for signal created at line 302 Found 1-bit tristate buffer for signal created at line 306 Found 1-bit tristate buffer for signal created at line 310 Found 1-bit tristate buffer for signal created at line 314 Found 1-bit tristate buffer for signal created at line 318 Found 1-bit tristate buffer for signal created at line 322 Found 1-bit tristate buffer for signal created at line 326 Found 1-bit tristate buffer for signal created at line 330 Found 1-bit tristate buffer for signal created at line 334 Found 1-bit tristate buffer for signal created at line 338 Found 1-bit tristate buffer for signal created at line 342 Found 1-bit tristate buffer for signal created at line 346 Found 1-bit tristate buffer for signal created at line 350 Found 1-bit tristate buffer for signal created at line 354 Found 1-bit tristate buffer for signal created at line 358 Found 1-bit tristate buffer for signal created at line 362 Found 1-bit tristate buffer for signal created at line 366 Found 1-bit tristate buffer for signal created at line 370 Found 1-bit tristate buffer for signal created at line 374 Found 1-bit tristate buffer for signal created at line 378 Found 1-bit tristate buffer for signal created at line 382 Found 1-bit tristate buffer for signal created at line 386 Found 1-bit tristate buffer for signal created at line 390 Found 1-bit tristate buffer for signal created at line 394 Found 1-bit tristate buffer for signal created at line 398 Found 1-bit tristate buffer for signal created at line 402 Found 1-bit tristate buffer for signal created at line 406 Found 1-bit tristate buffer for signal created at line 410 Found 1-bit tristate buffer for signal created at line 414 Found 1-bit tristate buffer for signal created at line 418 Found 1-bit tristate buffer for signal created at line 422 Found 1-bit tristate buffer for signal created at line 426 Found 1-bit tristate buffer for signal created at line 430 Found 1-bit tristate buffer for signal created at line 434 Found 1-bit tristate buffer for signal created at line 438 Found 1-bit tristate buffer for signal created at line 442 Found 1-bit tristate buffer for signal created at line 446 Found 1-bit tristate buffer for signal created at line 450 Found 1-bit tristate buffer for signal created at line 454 Found 1-bit tristate buffer for signal created at line 458 Found 1-bit tristate buffer for signal created at line 462 Found 1-bit tristate buffer for signal created at line 466 Found 1-bit tristate buffer for signal created at line 470 Found 1-bit tristate buffer for signal created at line 474 INFO:Xst:2774 - HDL ADVISOR - KEEP, MAX_FANOUT properties attached to signal aBusReset may hinder XST clustering optimizations. Summary: inferred 2 D-type flip-flop(s). inferred 80 Tristate(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgastockdcm.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaclockmanagercontrol.vhd". Set property "syn_keep = true" for signal . Found 5-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . INFO:Xst:1799 - State waitforbufgenassertionduration is never reached in FSM . INFO:Xst:1799 - State waitforbufgendeassertionduration is never reached in FSM . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 11 | | Inputs | 3 | | Outputs | 3 | | Clock | ReliableClk (rising_edge) | | Power Up State | waitforclkintobecomevalid | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 5-bit subtractor for signal > created at line 352. Found 1-bit 3-to-1 multiplexer for signal created at line 261. Found 1-bit 3-to-1 multiplexer for signal created at line 261. Summary: inferred 1 Adder/Subtractor(s). inferred 7 D-type flip-flop(s). inferred 7 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/doublesyncboolasyncin.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/doublesyncslasyncin.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/doublesyncasyncinbase.vhd". Set property "syn_maxfan = 1000000" for signal . Set property "syn_keep = true" for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflop.vhd". WARNING:Xst:37 - Detected unknown constraint/property "direct_enable". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "direct_enable". This constraint/property is not supported by the current software release and will be ignored. Set property "use_clock_enable = yes" for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopbool.vhd". Set property "syn_preserve = true". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1331: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1837: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1865: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1865: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1928: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 1987: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2284: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2449: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2614: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2614: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2722: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2857: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2944: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2944: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 2993: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3158: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3217: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3382: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3441: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3550: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3702: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3735: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3768: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3801: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3834: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3867: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3900: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3933: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3966: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 3999: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4032: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4065: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4098: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4098: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4098: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4156: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4212: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4268: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4324: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4324: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4324: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_speichern4_dash_2fpga.vhd" line 4393: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000000_whileloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000000_whileloop.vhd" line 297: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000001_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9223ionoderesholder.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd" line 119: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 14 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpenablehandlerslv.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 33-bit register for signal . Summary: inferred 35 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/submaxarray_vi_colon_clone0.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/submaxarray_vi_colon_clone0.vhd" line 159: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/submaxarray_vi_colon_clone0.vhd" line 159: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/submaxarray_vi_colon_clone0.vhd" line 183: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/submaxarray_vi_colon_clone0.vhd" line 208: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/submaxarray_vi_colon_clone0.vhd" line 208: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/resholder_r_opt.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000012_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000012_forloop.vhd" line 65: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgashiftreg.vhd". Found 1-bit register for signal . Found 32-bit register for signal . Summary: inferred 33 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arrayindexnode_27.vhd". Found 24-bit register for signal . Found 1-bit register for signal . Found 24-bit 15-to-1 multiplexer for signal created at line 33. Found 4-bit comparator greater for signal created at line 69 Summary: inferred 25 D-type flip-flop(s). inferred 1 Comparator(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvcompare.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpcompare.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpcompare.vhd" line 240: Output port of the instance is unconnected or connected to loadless signal. Found 26-bit subtractor for signal created at line 1547. Summary: inferred 1 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpenablehandler.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpenablehandlerslv.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 3 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000002d_casestructure_64.vhd". Found 2-bit register for signal . Found 1-bit register for signal . Summary: inferred 3 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000013_casestructureframe_0000.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000013_casestructureframe_0001.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/forloop.vhd". Found 32-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 14 | | Inputs | 5 | | Outputs | 6 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle_st | | Power Up State | idle_st | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit adder for signal created at line 220. Found 32-bit comparator equal for signal created at line 113 Summary: inferred 1 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 1 Comparator(s). inferred 3 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/resholder_w_opt.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/subvictlorindopt.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/subvictlorindopt.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/subvictlorind.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 32-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 34 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/subminarray_vi.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/subminarray_vi.vhd" line 155: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/subminarray_vi.vhd" line 155: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/subminarray_vi.vhd" line 179: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000020_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000020_forloop.vhd" line 65: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvcompare.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpcompare.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpcompare.vhd" line 240: Output port of the instance is unconnected or connected to loadless signal. Found 26-bit subtractor for signal created at line 1547. Summary: inferred 1 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000021_casestructureframe_0000.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000021_casestructureframe_0001.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/floatingfeedbackginit.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/feedbacknonsctlcore.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 3 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaregistercore.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaregistercorebase.vhd". Found 1-bit register for signal >. Summary: inferred 1 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalocalresholderread.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Summary: inferred 10 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvcompare.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpcompare.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpcompare.vhd" line 240: Output port of the instance is unconnected or connected to loadless signal. Found 10-bit subtractor for signal created at line 1519. Summary: inferred 1 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaboolop.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaboolop.vhd" line 233: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvtointeger.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpcoerce.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpcoerce.vhd" line 170: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpenablehandler.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpenablehandlerslv.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalocalresholderwrite.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000002d_casestructureframe_0000.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000002e_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264ionode.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd" line 119: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000002d_casestructureframe_0001.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000032_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/whileloop.vhd". Found 2-bit register for signal . Found 32-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 11 | | Inputs | 4 | | Outputs | 5 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle_st | | Power Up State | idle_st | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit adder for signal created at line 201. Summary: inferred 1 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000041_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000042_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403doionode.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 33-bit register for signal . Found 1-bit register for signal . Summary: inferred 34 D-type flip-flop(s). inferred 3 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd" line 119: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 6 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000004b_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000058_sequenceframe.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000058_sequenceframe.vhd" line 581: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000058_sequenceframe.vhd" line 729: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000058_sequenceframe.vhd" line 833: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000059_whileloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalocalresholderread.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 3 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000005a_sequenceframe.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000005a_sequenceframe.vhd" line 28: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalooptimer.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 32-bit subtractor for signal > created at line 74. Found 32-bit comparator lessequal for signal created at line 74 Summary: inferred 1 Adder/Subtractor(s). inferred 67 D-type flip-flop(s). inferred 1 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgasimplemodulocounter.vhd". Found 32-bit register for signal . Found 16-bit register for signal . Found 32-bit adder for signal created at line 1241. Found 16-bit adder for signal created at line 1241. Summary: inferred 2 Adder/Subtractor(s). inferred 48 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000005c_sequenceframe.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000005c_sequenceframe.vhd" line 259: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000005c_sequenceframe.vhd" line 516: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000005d_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211ionode.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213ionode.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd" line 119: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 34 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalocalresholderwrite.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000082_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraylpindx_132.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 816-bit register for signal . Summary: inferred 816 D-type flip-flop(s). inferred 792 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraycollect_136.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1089-bit register for signal . Summary: inferred 1089 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/forloop.vhd". Found 32-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 14 | | Inputs | 5 | | Outputs | 6 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle_st | | Power Up State | idle_st | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit adder for signal created at line 220. Summary: inferred 1 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 3 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000008e_whileloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000008f_sequenceframe.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000008f_sequenceframe.vhd" line 28: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000091_sequenceframe.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000091_sequenceframe.vhd" line 447: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000091_sequenceframe.vhd" line 496: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000091_sequenceframe.vhd" line 545: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000091_sequenceframe.vhd" line 605: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000091_sequenceframe.vhd" line 668: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000091_sequenceframe.vhd" line 713: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000091_sequenceframe.vhd" line 758: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_00000092_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205_io.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd" line 119: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 23 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000ac_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraylpindx_173.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 78-bit register for signal . Summary: inferred 78 D-type flip-flop(s). inferred 53 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvtofloatingpoint.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfixedtofloat.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfixedtofloat.vhd" line 230: Output port of the instance is unconnected or connected to loadless signal. Found 27-bit adder for signal created at line 1548. Found 26-bit adder for signal created at line 1548. Found 7-bit subtractor for signal > created at line 2044. Found 12-bit subtractor for signal > created at line 2044. Found 14-bit subtractor for signal created at line 1547. Summary: inferred 5 Adder/Subtractor(s). inferred 3 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/fxpnormalize.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 26-bit register for signal . Found 26-bit register for signal . Found 26-bit register for signal . Found 26-bit register for signal . Found 26-bit register for signal . Summary: inferred 135 D-type flip-flop(s). inferred 10 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfxpenablehandlerslv.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Summary: inferred 34 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraycollect_175.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 64-bit register for signal . Summary: inferred 64 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/forloop.vhd". Found 32-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 14 | | Inputs | 5 | | Outputs | 6 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle_st | | Power Up State | idle_st | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit adder for signal created at line 220. Found 32-bit comparator equal for signal created at line 123 Summary: inferred 1 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 1 Comparator(s). inferred 3 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000b0_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000b4_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000b8_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraylpindx_185.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 336-bit register for signal . Summary: inferred 336 D-type flip-flop(s). inferred 312 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvtofloatingpoint.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfixedtofloat.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfixedtofloat.vhd" line 230: Output port of the instance is unconnected or connected to loadless signal. Found 25-bit adder for signal created at line 1548. Found 8-bit subtractor for signal > created at line 2044. Summary: inferred 2 Adder/Subtractor(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/fxpnormalize.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Summary: inferred 125 D-type flip-flop(s). inferred 10 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraycollect_187.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 416-bit register for signal . Summary: inferred 416 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/forloop.vhd". Found 32-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 14 | | Inputs | 5 | | Outputs | 6 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle_st | | Power Up State | idle_st | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit adder for signal created at line 220. Summary: inferred 1 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 3 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalocalresholderwrite.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000bc_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraylpindx_189.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 96-bit register for signal . Summary: inferred 96 D-type flip-flop(s). inferred 65 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvmultiply.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfloatmultiply.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfloatmultiply.vhd" line 270: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvtofloatingpoint.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfloatmultiplycore.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvcoerce.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvtofloatingpoint.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000c0_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000c4_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvdivide.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfloatdivide.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfloatdivide.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfloatdivide.vhd" line 301: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvfloatdividecore.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvcoerce.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nilvtofloatingpoint.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalocalresholderwrite.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000c8_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraylpindx_202.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 736-bit register for signal . Summary: inferred 736 D-type flip-flop(s). inferred 704 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/forloop.vhd". Found 32-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 14 | | Inputs | 5 | | Outputs | 6 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle_st | | Power Up State | idle_st | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit adder for signal created at line 220. Summary: inferred 1 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 3 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaboolopnot.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaboolopnot.vhd" line 143: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000de_whileloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000df_sequenceframe.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000df_sequenceframe.vhd" line 30: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000e1_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000e2_forloop.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000e2_forloop.vhd" line 61: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: inferred 3 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgashiftreg.vhd". Found 1-bit register for signal . Found 33-bit register for signal . Summary: inferred 34 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/floatingfeedbackginit.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arraycollect_232.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 60-bit register for signal . Summary: inferred 60 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/arrayindexnode_246.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000e9_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403diionode.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 33-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 35 D-type flip-flop(s). inferred 4 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd" line 119: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 8 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalocalresholderwrite.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamergeerrors.vhd" line 119: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 35 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_000000fb_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaag_0000010d_sequenceframe.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgalocalresholderwrite.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/invisibleresholder.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220syncresource.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220syncresourcelogic.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 33-bit register for signal . Found 384-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 11 | | Inputs | 6 | | Outputs | 5 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforresholderenablein | | Power Up State | waitforresholderenablein | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 421 D-type flip-flop(s). inferred 5 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/topenablepassthru.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264syncresource.vhd". Set property "syn_keep = true" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 3-bit register for signal . Found 2-bit register for signal . Found 5-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 15 | | Inputs | 8 | | Outputs | 4 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 24 | | Inputs | 7 | | Outputs | 2 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | 00 | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 5-bit adder for signal created at line 1241. Found 1-bit 16-to-1 multiplexer for signal created at line 445. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 1 Adder/Subtractor(s). inferred 69 D-type flip-flop(s). inferred 91 Multiplexer(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/bushold.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/bushold.vhd" line 403: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/bushold.vhd" line 419: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/bushold.vhd" line 419: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/bushold.vhd" line 419: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/bushold.vhd" line 809: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/bushold.vhd" line 809: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. Found 13-bit comparator lessequal for signal created at line 375 Found 13-bit comparator greater for signal created at line 377 Summary: inferred 2 Comparator(s). inferred 41 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd". Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "use_clock_enable = yes" for signal . Set property "syn_maxfan = 1000000" for signal . Set property "syn_keep = true" for signal . WARNING:Xst:37 - Detected unknown constraint/property "syn_direct_enable". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_maxfan = 1000000" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd" line 382: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 6 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopslvresetval.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/resetsync.vhd". Set property "equivalent_register_removal = no". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 3 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopbool.vhd". Set property "syn_preserve = true". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflop.vhd". WARNING:Xst:37 - Detected unknown constraint/property "direct_enable". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "direct_enable". This constraint/property is not supported by the current software release and will be ignored. Set property "use_clock_enable = yes" for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopboolfallingedge.vhd". Set property "syn_preserve = true". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopfallingedge.vhd". WARNING:Xst:37 - Detected unknown constraint/property "direct_enable". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "direct_enable". This constraint/property is not supported by the current software release and will be ignored. Set property "use_clock_enable = yes" for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/resetsync.vhd". Set property "equivalent_register_removal = no". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/resetsync.vhd" line 86: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 4 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd". Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "use_clock_enable = yes" for signal . Set property "syn_maxfan = 1000000" for signal . Set property "syn_keep = true" for signal . WARNING:Xst:37 - Detected unknown constraint/property "syn_direct_enable". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_maxfan = 1000000" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd" line 382: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 6 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopslvresetval.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaregframeworkshiftreg.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 800-bit register for signal . Found 5-bit register for signal . Found 5-bit subtractor for signal > created at line 1308. Summary: inferred 1 Adder/Subtractor(s). inferred 809 D-type flip-flop(s). inferred 8 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/doublesyncboolasyncin.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/pulsesyncbool.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/pulsesyncbool.vhd" line 58: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/pulsesyncbool.vhd" line 58: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/pulsesyncbase.vhd". Set property "syn_maxfan = 1000000" for signal . Set property "syn_maxfan = 1000000" for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaregframeworkshiftreg.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 96-bit register for signal . Found 3-bit register for signal . Found 3-bit subtractor for signal > created at line 1308. Summary: inferred 1 Adder/Subtractor(s). inferred 103 D-type flip-flop(s). inferred 9 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403syncresource.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 32-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Summary: inferred 66 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403syncresource.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 32-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Summary: inferred 66 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211syncresource.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 3 bit to 8 bit decoder compact to one-hot for signal created at line 263 Summary: inferred 178 D-type flip-flop(s). inferred 15 Multiplexer(s). inferred 1 Decoder(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211syncresource.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 3 bit to 8 bit decoder compact to one-hot for signal created at line 263 Summary: inferred 178 D-type flip-flop(s). inferred 15 Multiplexer(s). inferred 1 Decoder(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213syncresource.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:2935 - Signal 'cAiChannelDataInt<31>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<30>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<29>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<28>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<27>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<26>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<25>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<24>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<23>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<22>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<21>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<20>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). WARNING:Xst:2935 - Signal 'cAiChannelDataInt<19>', unconnected in block 'Crio9213SyncResource', is tied to its initial value (000000000000000000000000). Found 2-bit register for signal . Found 2-bit register for signal . Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 24-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 11 | | Inputs | 7 | | Outputs | 21 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 20 | | Inputs | 7 | | Outputs | 2 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | 00 | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 5 bit to 32 bit decoder compact to one-hot for signal created at line 619 Summary: inferred 512 D-type flip-flop(s). inferred 63 Multiplexer(s). inferred 1 Decoder(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port > of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/interface.vhd" line 157: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal <31:24>> is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/miteinterface.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input <31:24>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input <31:20>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input <31:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input <31:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal <13:9>> is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal <13:11>> is used but never assigned. This sourceless signal will be automatically connected to value GND. Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 1-bit tristate buffer for signal created at line 613 Summary: inferred 34 D-type flip-flop(s). inferred 1 Multiplexer(s). inferred 1 Tristate(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/miteinterfaceoutputenables.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/registeraccess.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 15-bit register for signal . Found 32-bit register for signal . Summary: inferred 47 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/registeraccess32.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 16-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 7 | | Inputs | 2 | | Outputs | 3 | | Clock | MiteClk (rising_edge) | | Reset | aMiteReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 6 | | Inputs | 2 | | Outputs | 3 | | Clock | MiteClk (rising_edge) | | Reset | aMiteReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 16-bit adder for signal created at line 1241. Summary: inferred 1 Adder/Subtractor(s). inferred 52 D-type flip-flop(s). inferred 4 Multiplexer(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponent.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponent.vhd" line 123: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:2935 - Signal 'bDataOutFromFifo', unconnected in block 'MiteDmaComponent_1', is tied to its initial value (000000000000000000000000). Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponentenablechain.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponentenablechain.vhd" line 314: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 24-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 7 | | Inputs | 4 | | Outputs | 1 | | Clock | PClk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 4 D-type flip-flop(s). inferred 1 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/timeoutmanager.vhd". Found 32-bit register for signal . Found 32-bit subtractor for signal > created at line 1308. Summary: inferred 1 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifoclearcontrol.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 7 | | Transitions | 18 | | Inputs | 6 | | Outputs | 9 | | Clock | CClk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 3 D-type flip-flop(s). inferred 1 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/doublesyncbool.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/doublesyncbool.vhd" line 58: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/doublesyncbase.vhd". Set property "KEEP_HIERARCHY = TRUE". Set property "syn_keep = true" for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifoportreset.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 10 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgapulsesyncbasewrapper.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmainput.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmainput.vhd" line 120: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmainput.vhd" line 120: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamitereadinterface.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit comparator greater for signal created at line 150 Summary: inferred 3 D-type flip-flop(s). inferred 1 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd" line 105: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd" line 105: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifoflags.vhd". WARNING:Xst:2935 - Signal 'aError', unconnected in block 'NiFpgaFifoFlags_1', is tied to its initial value (0). Found 9-bit adder for signal created at line 1241. Found 9-bit adder for signal created at line 1241. Found 9-bit subtractor for signal created at line 301. Found 9-bit subtractor for signal > created at line 309. Found 9-bit subtractor for signal created at line 300. Summary: inferred 5 Adder/Subtractor(s). inferred 4 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/syncfifoflags.vhd". Set property "syn_maxfan = 100000000" for signal . Set property "syn_keep = true" for signal . Summary: Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopunsigned.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopgray.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/gendatavalid.vhd". Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopboolvec.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopslv.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgadualportram.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgadualportram_inferred.vhd". Set property "use_clock_enable = yes" for signal . Set property "use_clock_enable = yes" for signal . WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_ramstyle = no_rw_check" for signal . Set property "ram_style = block" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 512x24-bit dual-port RAM for signal . Found 9-bit register for signal . Summary: inferred 1 RAM(s). inferred 9 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/fiforeadadapter.vhd". WARNING:Xst:2935 - Signal 'StallDisableBlk.cStallDisableLoc', unconnected in block 'FifoReadAdapter_1', is tied to its initial value (0). Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebool.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebool.vhd" line 55: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebool.vhd" line 55: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebase.vhd". Set property "syn_maxfan = 1000000" for signal . Set property "syn_maxfan = 1000000" for signal . Set property "syn_maxfan = 1000000" for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Summary: inferred 12 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopslvresetval.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dmadisabler.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 11 | | Inputs | 5 | | Outputs | 2 | | Clock | MiteClk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | disabled | | Power Up State | disabled | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 2-bit 3-to-1 multiplexer for signal created at line 114. Summary: inferred 3 D-type flip-flop(s). inferred 5 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/cpudatard.vhd". Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 9 | | Transitions | 19 | | Inputs | 6 | | Outputs | 9 | | Clock | MiteClk (rising_edge) | | Reset | aMiteReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 6 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dmamitereadregs.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 2-bit register for signal . Found 32-bit adder for signal created at line 394. Found 32-bit subtractor for signal > created at line 1308. Found 32-bit comparator greater for signal created at line 407 Found 32-bit comparator greater for signal created at line 408 Found 32-bit comparator greater for signal created at line 409 Summary: inferred 1 Adder/Subtractor(s). inferred 43 D-type flip-flop(s). inferred 3 Comparator(s). inferred 8 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifocountcontrol.vhd". Found 1-bit register for signal . Found 9-bit register for signal . Summary: inferred 10 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponent.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponent.vhd" line 123: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:2935 - Signal 'bDataOutFromFifo', unconnected in block 'MiteDmaComponent_2', is tied to its initial value (00000000000000000000000000000000). Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponentenablechain.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponentenablechain.vhd" line 314: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 32-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 7 | | Inputs | 4 | | Outputs | 1 | | Clock | PClk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 4 D-type flip-flop(s). inferred 1 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmainput.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmainput.vhd" line 120: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmainput.vhd" line 120: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamitereadinterface.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit comparator greater for signal created at line 150 Summary: inferred 3 D-type flip-flop(s). inferred 1 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd" line 105: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd" line 105: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifoflags.vhd". WARNING:Xst:2935 - Signal 'aError', unconnected in block 'NiFpgaFifoFlags_2', is tied to its initial value (0). Found 14-bit adder for signal created at line 1241. Found 14-bit adder for signal created at line 1241. Found 14-bit subtractor for signal created at line 301. Found 14-bit subtractor for signal > created at line 309. Found 14-bit subtractor for signal created at line 300. Summary: inferred 5 Adder/Subtractor(s). inferred 4 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/syncfifoflags.vhd". Set property "syn_maxfan = 100000000" for signal . Set property "syn_keep = true" for signal . Summary: Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopunsigned.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopgray.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgadualportram.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgadualportram_inferred.vhd". Set property "use_clock_enable = yes" for signal . Set property "use_clock_enable = yes" for signal . WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_ramstyle = no_rw_check" for signal . Set property "ram_style = block" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 16384x32-bit dual-port RAM for signal . Found 14-bit register for signal . Summary: inferred 1 RAM(s). inferred 14 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/fiforeadadapter.vhd". WARNING:Xst:2935 - Signal 'StallDisableBlk.cStallDisableLoc', unconnected in block 'FifoReadAdapter_2', is tied to its initial value (0). Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dmamitereadregs.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Found 2-bit register for signal . Found 32-bit adder for signal created at line 394. Found 32-bit subtractor for signal > created at line 1308. Found 32-bit comparator greater for signal created at line 407 Found 32-bit comparator greater for signal created at line 408 Found 32-bit comparator greater for signal created at line 409 Summary: inferred 1 Adder/Subtractor(s). inferred 43 D-type flip-flop(s). inferred 3 Comparator(s). inferred 8 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifocountcontrol.vhd". Found 1-bit register for signal . Found 14-bit register for signal . Summary: inferred 15 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponent.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponentenablechain.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmacomponentenablechain.vhd" line 341: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 20-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 7 | | Inputs | 4 | | Outputs | 1 | | Clock | PClk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 24 D-type flip-flop(s). inferred 1 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifoclearcontrol.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:2935 - Signal 'oPopFromClear', unconnected in block 'NiFpgaFifoClearControl_2', is tied to its initial value (0). Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 7 | | Transitions | 18 | | Inputs | 6 | | Outputs | 9 | | Clock | CClk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 3 D-type flip-flop(s). inferred 1 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifoportreset.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 10 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmaoutput.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/mitedmaoutput.vhd" line 164: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgamitewriteinterface.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit comparator greater for signal created at line 229 Summary: inferred 3 D-type flip-flop(s). inferred 1 Comparator(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/fifowriteadapter.vhd". WARNING:Xst:2935 - Signal 'StallDisableBlk.cStallDisableLoc', unconnected in block 'FifoWriteAdapter', is tied to its initial value (0). Found 1-bit register for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd" line 105: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifo.vhd" line 105: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifoflags.vhd". WARNING:Xst:2935 - Signal 'aError', unconnected in block 'NiFpgaFifoFlags_3', is tied to its initial value (0). Found 10-bit adder for signal created at line 1241. Found 10-bit adder for signal created at line 1241. Found 10-bit subtractor for signal created at line 301. Found 10-bit subtractor for signal > created at line 309. Found 10-bit subtractor for signal created at line 300. Summary: inferred 5 Adder/Subtractor(s). inferred 4 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/syncfifoflags.vhd". Set property "syn_maxfan = 100000000" for signal . Set property "syn_keep = true" for signal . Summary: Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopunsigned.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopgray.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/gendatavalid.vhd". Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopboolvec.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopslv.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgadualportram.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgadualportram_inferred.vhd". Set property "use_clock_enable = yes" for signal . Set property "use_clock_enable = yes" for signal . WARNING:Xst:37 - Detected unknown constraint/property "block_ram". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_ramstyle = no_rw_check" for signal . Set property "ram_style = block" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1024x20-bit dual-port RAM for signal . Found 20-bit register for signal . Found 10-bit register for signal . Summary: inferred 1 RAM(s). inferred 30 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/cpudatawr.vhd". Found 1-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 9 | | Transitions | 20 | | Inputs | 6 | | Outputs | 6 | | Clock | MiteClk (rising_edge) | | Reset | aMiteReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 1 D-type flip-flop(s). inferred 10 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dmamitewriteregs.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 5-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 20 | | Inputs | 6 | | Outputs | 9 | | Clock | MiteClk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 32-bit adder for signal created at line 621. Found 32-bit adder for signal created at line 647. Found 32-bit subtractor for signal > created at line 1308. Found 5-bit subtractor for signal > created at line 1308. Found 3-bit 6-to-1 multiplexer for signal created at line 509. Found 32-bit comparator greater for signal created at line 515 Found 5-bit comparator greater for signal created at line 694 Found 32-bit comparator greater for signal created at line 698 Summary: inferred 4 Adder/Subtractor(s). inferred 82 D-type flip-flop(s). inferred 3 Comparator(s). inferred 23 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifopopbuffer.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifopopbuffer.vhd" line 322: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifopopbuffer.vhd" line 322: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 3-bit register for signal . Found 2-bit adder for signal created at line 1241. Found 3-bit adder for signal created at line 1241. Found 3-bit adder for signal created at line 265. Found 11-bit adder for signal created at line 278. Found 2-bit subtractor for signal > created at line 1308. Found 3-bit subtractor for signal > created at line 1308. Found 10-bit comparator greater for signal created at line 125 Found 3-bit comparator lessequal for signal created at line 220 Found 3-bit comparator greater for signal created at line 240 Found 3-bit comparator greater for signal created at line 241 Found 2-bit comparator lessequal for signal created at line 241 Summary: inferred 4 Adder/Subtractor(s). inferred 8 D-type flip-flop(s). inferred 5 Comparator(s). inferred 7 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaflipflopfifo.vhd". WARNING:Xst:2935 - Signal 'cPushFlag', unconnected in block 'NiFpgaFlipFlopFifo', is tied to its initial value (0). WARNING:Xst:2935 - Signal 'cPopFlag', unconnected in block 'NiFpgaFlipFlopFifo', is tied to its initial value (0). Found 20-bit register for signal >. Found 20-bit register for signal >. Found 20-bit register for signal >. Found 20-bit register for signal >. Found 20-bit register for signal >. Found 20-bit register for signal >. Found 3-bit register for signal . Found 3-bit register for signal . Found 7-bit register for signal . Found 3-bit adder for signal created at line 1241. Found 3-bit adder for signal created at line 1241. Found 3-bit subtractor for signal > created at line 1308. Found 3-bit subtractor for signal > created at line 1308. Summary: inferred 2 Adder/Subtractor(s). inferred 133 D-type flip-flop(s). inferred 10 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgafifocountcontrol.vhd". Found 1-bit register for signal . Found 11-bit register for signal . Summary: inferred 12 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/miteirq.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/miteirq.vhd" line 379: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/miteirq.vhd" line 444: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/miteirq.vhd" line 444: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 32-bit register for signal . Summary: inferred 72 D-type flip-flop(s). inferred 37 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd". Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "use_clock_enable = yes" for signal . Set property "syn_maxfan = 1000000" for signal . Set property "syn_keep = true" for signal . WARNING:Xst:37 - Detected unknown constraint/property "syn_direct_enable". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_maxfan = 1000000" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd" line 382: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 6 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopslvresetval.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205_syncres.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 26-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 5 bit to 32 bit decoder compact to one-hot for signal created at line 455 Summary: inferred 867 D-type flip-flop(s). inferred 69 Multiplexer(s). inferred 1 Decoder(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403syncresource.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 32-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Summary: inferred 66 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264syncresource.vhd". Set property "syn_keep = true" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 3-bit register for signal . Found 2-bit register for signal . Found 5-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 15 | | Inputs | 8 | | Outputs | 4 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 24 | | Inputs | 7 | | Outputs | 2 | | Clock | clk (rising_edge) | | Reset | reset (positive) | | Reset type | asynchronous | | Reset State | 00 | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 5-bit adder for signal created at line 1241. Found 1-bit 16-to-1 multiplexer for signal created at line 445. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. Found 1-bit 16-to-1 multiplexer for signal > created at line 441. WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 1 Adder/Subtractor(s). inferred 69 D-type flip-flop(s). inferred 91 Multiplexer(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403syncresource.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 32-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal . Summary: inferred 66 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220resource.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220resourcecore.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220.vhd" line 712: Output port of the instance is unconnected or connected to loadless signal. Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 24-bit register for signal . Found 8-bit register for signal . Summary: inferred 392 D-type flip-flop(s). inferred 3 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/enablechainsm.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 10 | | Inputs | 3 | | Outputs | 4 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 2 D-type flip-flop(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9223enablechainhandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 10-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 9 | | Transitions | 33 | | Inputs | 16 | | Outputs | 9 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 2-bit subtractor for signal created at line 1308. Found 2-bit adder for signal created at line 1241. Found 2-bit subtractor for signal > created at line 1308. Summary: inferred 3 Adder/Subtractor(s). inferred 22 D-type flip-flop(s). inferred 47 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9223iohandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 9-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 18 | | Inputs | 7 | | Outputs | 5 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 9-bit subtractor for signal > created at line 1308. Summary: inferred 1 Adder/Subtractor(s). inferred 15 D-type flip-flop(s). inferred 18 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9223hseioreadhandler.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9223errordecode.vhd". Found 1-bit register for signal . Found 3-bit register for signal . Found 33-bit register for signal . Summary: inferred 37 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocalmultiply.vhd". Found 36-bit register for signal . Found 18x18-bit multiplier for signal created at line 75. Summary: inferred 1 Multiplier(s). inferred 36 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocalmemory.vhd". Set property "ram_style = distributed" for signal . Found 16x35-bit single-port RAM for signal . Summary: inferred 1 RAM(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220initializer.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220getcalconst.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 6-bit register for signal . Found 32-bit register for signal . Found 2-bit register for signal . Found 36-bit register for signal . Found 35-bit register for signal . Found 41-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 16-bit register for signal . Found 8-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 26 | | Inputs | 7 | | Outputs | 8 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 3-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 41-bit adder for signal created at line 1548. Found 41-bit adder for signal created at line 1548. Found 3-bit comparator greater for signal created at line 156 Summary: inferred 4 Adder/Subtractor(s). inferred 188 D-type flip-flop(s). inferred 1 Comparator(s). inferred 66 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220caldata.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 37-bit register for signal . Found 5-bit register for signal . Found 36-bit register for signal . Found 24-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 14 | | Inputs | 3 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 37-bit adder for signal created at line 1584. Found 5-bit adder for signal created at line 183. Found 37-bit subtractor for signal > created at line 2044. Summary: inferred 2 Adder/Subtractor(s). inferred 105 D-type flip-flop(s). inferred 23 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9223sampleserializer.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 9 | | Inputs | 3 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforhsiinputwritereq | | Power Up State | waitforhsiinputwritereq | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 3-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Summary: inferred 2 Adder/Subtractor(s). inferred 11 D-type flip-flop(s). inferred 13 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9220samplecounter.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 4-bit register for signal . Found 4-bit adder for signal created at line 1241. Summary: inferred 1 Adder/Subtractor(s). inferred 5 D-type flip-flop(s). inferred 4 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9223samplevaliddelay.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 5-bit register for signal . Found 5-bit subtractor for signal > created at line 1308. Summary: inferred 1 Adder/Subtractor(s). inferred 7 D-type flip-flop(s). inferred 3 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9223clockcrossing.vhd". Set property "syn_keep = true" for signal . Set property "S = YES" for signal . Set property "syn_keep = true" for signal . Set property "S = YES" for signal . Set property "syn_keep = true" for signal . Set property "S = YES" for signal . Set property "syn_keep = true" for signal . Set property "S = YES" for signal . Set property "syn_keep = true" for signal . Set property "S = YES" for signal . Set property "syn_keep = true" for signal . Set property "S = YES" for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 10-bit register for signal . Summary: inferred 18 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocommint.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocommint.vhd" line 446: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocommint.vhd" line 584: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocipulsemask.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocipicobeatleinit.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocicartridgedetection.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 5-bit register for signal . Found 5-bit subtractor for signal > created at line 1308. WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 1 Adder/Subtractor(s). inferred 14 D-type flip-flop(s). inferred 9 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocimodeselector.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocimodeselector.vhd" line 208: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocimodeselectorcontrol.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 16 | | Transitions | 35 | | Inputs | 7 | | Outputs | 4 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforwakeuporinsertion | | Power Up State | waitforwakeuporinsertion | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 4x2-bit Read Only RAM for signal Summary: inferred 1 RAM(s). inferred 14 D-type flip-flop(s). inferred 21 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocipinconfig.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 10-bit register for signal . Found 10-bit register for signal . Found 10-bit register for signal . Found 3-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal . INFO:Xst:1799 - State updateoeonly is never reached in FSM . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 9 | | Inputs | 4 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforrun | | Power Up State | waitforrun | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 2-bit adder for signal created at line 741. Found 10-bit subtractor for signal > created at line 1308. Found 4x66-bit Read Only RAM for signal <_n0889> Found 10-bit 3-to-1 multiplexer for signal created at line 656. Found 10-bit 3-to-1 multiplexer for signal created at line 656. Found 10-bit 3-to-1 multiplexer for signal created at line 656. Found 1-bit 3-to-1 multiplexer for signal > created at line 0. Found 1-bit 3-to-1 multiplexer for signal > created at line 0. Found 1-bit 3-to-1 multiplexer for signal > created at line 0. Found 1-bit 3-to-1 multiplexer for signal > created at line 0. Found 1-bit 3-to-1 multiplexer for signal created at line 290. Found 1-bit 3-to-1 multiplexer for signal created at line 290. Found 1-bit 3-to-1 multiplexer for signal created at line 290. Found 1-bit 3-to-1 multiplexer for signal created at line 290. Found 1-bit 3-to-1 multiplexer for signal created at line 290. Found 2-bit comparator greater for signal created at line 117 Found 2-bit comparator greater for signal created at line 117 Found 2-bit comparator greater for signal created at line 117 Found 2-bit comparator greater for signal created at line 117 Summary: inferred 1 RAM(s). inferred 2 Adder/Subtractor(s). inferred 45 D-type flip-flop(s). inferred 4 Comparator(s). inferred 73 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocispiconfig.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 6-bit register for signal . Found 4x6-bit Read Only RAM for signal WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 1 RAM(s). inferred 7 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocioutputmuxes.vhd". WARNING:Xst:647 - Input <1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/ccmuxsln.vhd". Set property "syn_keep = true" for signal . Set property "KEEP = TRUE" for signal . Set property "syn_keep = true" for signal . Set property "KEEP = TRUE" for signal . Set property "syn_keep = true" for signal . Set property "KEEP = TRUE" for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/ccmuxsl2.vhd". Set property "syn_hier = hard". Set property "KEEP_HIERARCHY = YES". Set property "LOCK_PINS = ALL" for instance . Set property "syn_keep = true" for signal . Set property "KEEP = TRUE" for signal . Set property "syn_keep = true" for signal . Set property "KEEP = TRUE" for signal . Set property "syn_keep = true" for signal . Set property "KEEP = TRUE" for signal . Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocispiengine.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocispiengine.vhd" line 310: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocispiengine.vhd" line 310: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 7 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/ccspilogic.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 7-bit register for signal . Found 3-bit adder for signal created at line 209. Found 4-bit adder for signal created at line 531. Found 12-bit adder for signal created at line 554. Found 12-bit adder for signal created at line 1241. Found 8-bit subtractor for signal > created at line 1308. Found 1-bit 4-to-1 multiplexer for signal created at line 244. Found 1-bit 4-to-1 multiplexer for signal created at line 244. Found 1-bit 4-to-1 multiplexer for signal created at line 259. Found 1-bit 4-to-1 multiplexer for signal created at line 259. Summary: inferred 5 Adder/Subtractor(s). inferred 66 D-type flip-flop(s). inferred 94 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/ccspiditherhardcodable.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/ccsourcesynch.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 5 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/ccsourcesynchfalling.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 9 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocieeprom.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 5-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 16-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 13 | | Inputs | 5 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforrun | | Power Up State | waitforrun | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 24 | | Transitions | 69 | | Inputs | 10 | | Outputs | 13 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforrun | | Power Up State | waitforrun | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 2-bit adder for signal created at line 1241. Found 2-bit adder for signal created at line 1241. Found 8-bit adder for signal created at line 1241. Found 8-bit subtractor for signal > created at line 1308. WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 3 Adder/Subtractor(s). inferred 47 D-type flip-flop(s). inferred 51 Multiplexer(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocicartridgeidentification.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 8-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 12 | | Inputs | 5 | | Outputs | 8 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforrun | | Power Up State | waitforrun | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 4-bit adder for signal created at line 197. Found 16x8-bit Read Only RAM for signal Found 4x1-bit Read Only RAM for signal Found 8x1-bit Read Only RAM for signal Found 8-bit comparator not equal for signal created at line 206 Summary: inferred 3 RAM(s). inferred 1 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 1 Comparator(s). inferred 9 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criociinitsequence.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 3-bit register for signal . Found 6-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 15 | | Transitions | 86 | | Inputs | 12 | | Outputs | 9 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforpbinit | | Power Up State | waitforpbinit | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 6-bit subtractor for signal > created at line 1308. Summary: inferred 1 Adder/Subtractor(s). inferred 15 D-type flip-flop(s). inferred 18 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocicrccheck.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crioparallelcrccore.vhd". Found 1-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Summary: inferred 17 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocihsiengine.vhd" line 226: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 3 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/cchsinput.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 1 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Summary: inferred 6 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/cchsinputlogic.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 7-bit register for signal . Found 7-bit register for signal . Found 32-bit register for signal . Found 32-bit register for signal . Found 3-bit register for signal >. Found 5-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 7-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 5-bit adder for signal created at line 1241. Found 8-bit adder for signal created at line 1241. Found 6-bit subtractor for signal > created at line 1308. Found 6-bit subtractor for signal created at line 0. Found 5-bit subtractor for signal > created at line 410. Found 1-bit 4-to-1 multiplexer for signal created at line 296. Found 1-bit 4-to-1 multiplexer for signal created at line 296. Found 1-bit 4-to-1 multiplexer for signal created at line 296. Found 1-bit 4-to-1 multiplexer for signal created at line 293. Found 4-bit 4-to-1 multiplexer for signal created at line 300. Found 3-bit 4-to-1 multiplexer for signal created at line 330. Found 6-bit 4-to-1 multiplexer for signal created at line 96. Found 7-bit comparator equal for signal created at line 225 Found 6-bit comparator greater for signal created at line 319 Found 6-bit comparator greater for signal created at line 408 Summary: inferred 11 Adder/Subtractor(s). inferred 113 D-type flip-flop(s). inferred 3 Comparator(s). inferred 49 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/ccbarrelshifter.vhd". Found 40-bit shifter logical left for signal created at line 43 Summary: inferred 1 Combinational logic shifter(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criociinputflops.vhd". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criociinputflops.vhd" line 116: Output port of the instance is unconnected or connected to loadless signal. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/ccinputflop.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 4 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264resource.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264resourcecore.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264.vhd". Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264.vhd" line 335: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264.vhd" line 335: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264.vhd" line 335: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264.vhd" line 536: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: inferred 10 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostock.vhd". Summary: inferred 7 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockmoduleid.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 16-bit register for signal . Found 33-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 11 | | Transitions | 23 | | Inputs | 7 | | Outputs | 7 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | nomodulepresent | | Power Up State | nomodulepresent | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 3-bit subtractor for signal > created at line 163. Found 8x57-bit Read Only RAM for signal <_n0418> Found 8-bit comparator equal for signal created at line 162 Summary: inferred 1 RAM(s). inferred 1 Adder/Subtractor(s). inferred 60 D-type flip-flop(s). inferred 1 Comparator(s). inferred 10 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockmodeselector.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 4-bit register for signal . Found 5-bit register for signal . Found 6-bit register for signal . Found 7-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 15 | | Transitions | 52 | | Inputs | 23 | | Outputs | 19 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | reset | | Power Up State | reset | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 5-bit adder for signal created at line 1241. Found 7-bit adder for signal created at line 1241. Found 6-bit adder for signal created at line 1241. Found 2-bit adder for signal created at line 507. Found 1-bit 4-to-1 multiplexer for signal created at line 441. Summary: inferred 4 Adder/Subtractor(s). inferred 41 D-type flip-flop(s). inferred 76 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockspiengine.vhd". Set property "IOB = TRUE" for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 25 | | Inputs | 5 | | Outputs | 13 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 8-bit adder for signal created at line 1241. Found 8-bit subtractor for signal > created at line 1308. Found 8-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Found 8-bit comparator equal for signal created at line 150 Found 8-bit comparator equal for signal created at line 160 INFO:Xst:2774 - HDL ADVISOR - IOB property attached to signal cMiso may hinder XST clustering optimizations. Summary: inferred 5 Adder/Subtractor(s). inferred 35 D-type flip-flop(s). inferred 2 Comparator(s). inferred 30 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockeepromread.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 12 | | Inputs | 3 | | Outputs | 6 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 23 D-type flip-flop(s). inferred 3 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264enablechainhandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 25 | | Inputs | 10 | | Outputs | 5 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforid | | Power Up State | waitforid | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 6 D-type flip-flop(s). inferred 32 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crioeepromread.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 3-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 7 | | Transitions | 14 | | Inputs | 5 | | Outputs | 4 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 12 D-type flip-flop(s). inferred 7 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264iohandler.vhd". Set property "syn_keep = true" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 5-bit register for signal . Found 8-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 9 | | Transitions | 26 | | Inputs | 12 | | Outputs | 8 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idlenotready | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 3-bit adder for signal created at line 1241. Found 5-bit adder for signal created at line 1241. Summary: inferred 2 Adder/Subtractor(s). inferred 29 D-type flip-flop(s). inferred 31 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264updatehandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 6-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 6 | | Inputs | 3 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 6-bit adder for signal created at line 1241. Found 6-bit 3-to-1 multiplexer for signal created at line 81. Summary: inferred 1 Adder/Subtractor(s). inferred 9 D-type flip-flop(s). inferred 5 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264getcalconst.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 3-bit register for signal . Found 6-bit register for signal . Found 9-bit register for signal . Found 32-bit register for signal . Found 36-bit register for signal . Found 14-bit register for signal . Found 45-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 12 | | Transitions | 23 | | Inputs | 9 | | Outputs | 8 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waittorungetcalconst | | Power Up State | waittorungetcalconst | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 9-bit adder for signal created at line 1241. Found 45-bit adder for signal created at line 1548. Found 6-bit adder for signal created at line 1241. Found 45-bit adder for signal created at line 1548. Found 3-bit adder for signal created at line 1241. Found 4-bit subtractor for signal > created at line 1308. Found 3-bit comparator greater for signal created at line 248 Summary: inferred 6 Adder/Subtractor(s). inferred 160 D-type flip-flop(s). inferred 1 Comparator(s). inferred 45 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264calreciprocal.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 18-bit register for signal . Found 54-bit register for signal . Found 36-bit register for signal . Found 2-bit register for signal . Found 5-bit register for signal . Found 34-bit register for signal . Found 3-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | | Transitions | 11 | | Inputs | 3 | | Outputs | 5 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 12 | | Inputs | 3 | | Outputs | 2 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | first | | Power Up State | notstarted | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 54-bit adder for signal created at line 131. Found 54-bit adder for signal created at line 131. Found 5-bit adder for signal created at line 1241. Found 38-bit subtractor for signal > created at line 2010. Found 5-bit comparator greater for signal created at line 282 Summary: inferred 3 Adder/Subtractor(s). inferred 151 D-type flip-flop(s). inferred 1 Comparator(s). inferred 17 Multiplexer(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9264caldata.vhd". Set property "ram_style = distributed" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 16x20-bit single-port RAM for signal . Found 1-bit register for signal . Found 37-bit register for signal . Found 3-bit register for signal . Found 36-bit register for signal . Found 18-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | | Transitions | 10 | | Inputs | 2 | | Outputs | 6 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 37-bit adder for signal created at line 1548. Found 20-bit adder for signal created at line 1548. Found 21-bit subtractor for signal > created at line 2010. Summary: inferred 1 RAM(s). inferred 3 Adder/Subtractor(s). inferred 94 D-type flip-flop(s). inferred 18 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocalparallelcrc.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocalmemory.vhd". Set property "ram_style = distributed" for signal . Found 16x32-bit single-port RAM for signal . Summary: inferred 1 RAM(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/vicontrol.vhd". Set property "equivalent_register_removal = no". Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . INFO:Xst:1799 - State waituntilinternalclocksbecomevalid is never reached in FSM . INFO:Xst:1799 - State enableindeassertionnotsupportederr is never reached in FSM . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 9 | | Inputs | 4 | | Outputs | 5 | | Clock | ReliableClk (rising_edge) | | Reset | rDiagramResetStatus (positive) | | Reset type | synchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 4-bit subtractor for signal > created at line 582. Summary: inferred 1 Adder/Subtractor(s). inferred 29 D-type flip-flop(s). inferred 9 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/safebuscrossing.vhd". Set property "equivalent_register_removal = no". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/safebuscrossing.vhd" line 280: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/safebuscrossing.vhd" line 280: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 5 | | Inputs | 1 | | Outputs | 2 | | Clock | BusClk (rising_edge) | | Reset | aBusReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 5 D-type flip-flop(s). inferred 2 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd". Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "use_clock_enable = yes" for signal . Set property "syn_maxfan = 1000000" for signal . Set property "syn_keep = true" for signal . WARNING:Xst:37 - Detected unknown constraint/property "syn_direct_enable". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_maxfan = 1000000" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd" line 382: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 6 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/diagramreset.vhd". Set property "equivalent_register_removal = no". Set property "syn_maxfan = 1000000" for signal . Set property "syn_maxfan = 1000000" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "KEEP = TRUE" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/diagramreset.vhd" line 355: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 6-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . INFO:Xst:1799 - State waitforclkenablerequest is never reached in FSM . INFO:Xst:1799 - State diagrstassertionnotsupportederr is never reached in FSM . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 15 | | Inputs | 7 | | Outputs | 5 | | Clock | ReliableClk (rising_edge) | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 6-bit subtractor for signal > created at line 688. Summary: inferred 1 Adder/Subtractor(s). inferred 14 D-type flip-flop(s). inferred 23 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/safebuscrossing.vhd". Set property "equivalent_register_removal = no". INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/safebuscrossing.vhd" line 280: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/safebuscrossing.vhd" line 280: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 5 | | Inputs | 1 | | Outputs | 2 | | Clock | BusClk (rising_edge) | | Reset | aBusReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- WARNING:Xst:2404 - FFs/Latches <0:0>> (without init value) have a constant value of 0 in block . Summary: inferred 3 D-type flip-flop(s). inferred 2 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd". Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "use_clock_enable = yes" for signal . Set property "syn_maxfan = 1000000" for signal . Set property "syn_keep = true" for signal . WARNING:Xst:37 - Detected unknown constraint/property "syn_direct_enable". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_maxfan = 1000000" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/handshakebaseresetcross.vhd" line 382: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 6 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/dflopslvresetval.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/visignature.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgahostaccessibleregister.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd" line 331: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgahostaccessibleregister.vhd". Found 2-bit register for signal . Summary: inferred 2 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd" line 331: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgahostaccessibleregister.vhd". Found 33-bit register for signal . Summary: inferred 33 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd" line 331: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd" line 331: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgahostaccessibleregister.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd" line 331: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgahostaccessibleregister.vhd". Found 816-bit register for signal . Summary: inferred 816 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd" line 331: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgahostaccessibleregister.vhd". Found 336-bit register for signal . Summary: inferred 336 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd" line 331: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgahostaccessibleregister.vhd". Found 736-bit register for signal . Summary: inferred 736 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgactrlindregister.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgahostaccessibleregister.vhd". Found 8-bit register for signal . Summary: inferred 8 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403resource.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403resourcecore.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403.vhd". Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403.vhd" line 295: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403.vhd" line 295: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403.vhd" line 295: Output port of the instance is unconnected or connected to loadless signal. Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403enablechainhandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit 11-to-1 multiplexer for signal created at line 102. Found 4-bit 3-to-1 multiplexer for signal created at line 109. Summary: inferred 16 D-type flip-flop(s). inferred 41 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostock.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: inferred 7 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockmoduleid.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 16-bit register for signal . Found 33-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 11 | | Transitions | 23 | | Inputs | 7 | | Outputs | 7 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | nomodulepresent | | Power Up State | nomodulepresent | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 3-bit subtractor for signal > created at line 163. Found 8x57-bit Read Only RAM for signal <_n0418> Found 8-bit comparator equal for signal created at line 162 Summary: inferred 1 RAM(s). inferred 1 Adder/Subtractor(s). inferred 60 D-type flip-flop(s). inferred 1 Comparator(s). inferred 10 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockspiengine.vhd". Set property "IOB = TRUE" for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 25 | | Inputs | 5 | | Outputs | 13 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 8-bit adder for signal created at line 1241. Found 8-bit subtractor for signal > created at line 1308. Found 8-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Found 8-bit comparator equal for signal created at line 150 Found 8-bit comparator equal for signal created at line 160 INFO:Xst:2774 - HDL ADVISOR - IOB property attached to signal cMiso may hinder XST clustering optimizations. Summary: inferred 5 Adder/Subtractor(s). inferred 34 D-type flip-flop(s). inferred 2 Comparator(s). inferred 28 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403commhandler.vhd". Summary: inferred 2 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403diohandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 32-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 12 | | Inputs | 6 | | Outputs | 4 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 4-bit adder for signal created at line 1241. Found 1-bit 3-to-1 multiplexer for signal created at line 100. Summary: inferred 1 Adder/Subtractor(s). inferred 42 D-type flip-flop(s). inferred 77 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403ldhandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 32-bit register for signal . Found 9-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 7 | | Transitions | 14 | | Inputs | 7 | | Outputs | 6 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 9-bit adder for signal created at line 1241. Summary: inferred 1 Adder/Subtractor(s). inferred 47 D-type flip-flop(s). inferred 47 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9403spiword.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 32-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 10 | | Inputs | 2 | | Outputs | 5 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 43 D-type flip-flop(s). inferred 32 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crioeepromread.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 8-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 7 | | Transitions | 14 | | Inputs | 5 | | Outputs | 5 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 12 D-type flip-flop(s). inferred 7 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211resource.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211resourcecore.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211.vhd". Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211.vhd" line 269: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211.vhd" line 269: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211.vhd" line 269: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). inferred 5 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211enablechainhandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 20 | | Inputs | 8 | | Outputs | 4 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforid | | Power Up State | waitforid | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 6 D-type flip-flop(s). inferred 13 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostock.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: inferred 7 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockmoduleid.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 16-bit register for signal . Found 33-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 11 | | Transitions | 23 | | Inputs | 7 | | Outputs | 7 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | nomodulepresent | | Power Up State | nomodulepresent | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 3-bit subtractor for signal > created at line 163. Found 8x57-bit Read Only RAM for signal <_n0417> Found 8-bit comparator equal for signal created at line 162 Summary: inferred 1 RAM(s). inferred 1 Adder/Subtractor(s). inferred 60 D-type flip-flop(s). inferred 1 Comparator(s). inferred 10 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockspiengine.vhd". Set property "IOB = TRUE" for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 12-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 25 | | Inputs | 4 | | Outputs | 13 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 12-bit adder for signal created at line 1241. Found 12-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Found 12-bit comparator equal for signal created at line 150 INFO:Xst:2774 - HDL ADVISOR - IOB property attached to signal cMiso may hinder XST clustering optimizations. Summary: inferred 4 Adder/Subtractor(s). inferred 38 D-type flip-flop(s). inferred 1 Comparator(s). inferred 26 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211iohandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 9-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 6-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 24-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 12 | | Transitions | 29 | | Inputs | 12 | | Outputs | 20 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 8 | | Inputs | 4 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforrun | | Power Up State | sendlastbyte | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 5-bit subtractor for signal created at line 422. Found 9-bit adder for signal created at line 1241. Found 3-bit adder for signal created at line 1241. Found 3-bit adder for signal created at line 1241. Found 4-bit adder for signal created at line 1241. Found 4-bit adder for signal created at line 481. Found 4-bit adder for signal created at line 1241. Found 8x4-bit Read Only RAM for signal Found 4-bit 3-to-1 multiplexer for signal created at line 440. Found 9-bit comparator greater for signal created at line 258 Found 9-bit comparator greater for signal created at line 266 Found 3-bit comparator greater for signal created at line 275 Found 3-bit comparator greater for signal created at line 401 Found 5-bit comparator greater for signal created at line 422 Found 4-bit comparator greater for signal created at line 471 Found 5-bit comparator equal for signal created at line 478 Found 4-bit comparator lessequal for signal created at line 484 Summary: inferred 1 RAM(s). inferred 7 Adder/Subtractor(s). inferred 82 D-type flip-flop(s). inferred 8 Comparator(s). inferred 55 Multiplexer(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211getcalconst.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 14 | | Inputs | 6 | | Outputs | 5 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waittorun | | Power Up State | waittorun | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 4-bit adder for signal created at line 1241. Found 8-bit adder for signal created at line 1241. Summary: inferred 2 Adder/Subtractor(s). inferred 32 D-type flip-flop(s). inferred 14 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9211caldata.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 42-bit register for signal . Found 41-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 5 | | Inputs | 1 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 42-bit adder for signal created at line 1584. Summary: inferred 1 Adder/Subtractor(s). inferred 86 D-type flip-flop(s). inferred 4 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocalmemory.vhd". Set property "ram_style = distributed" for signal . WARNING:Xst:3035 - Index value(s) does not match array range for signal , simulation mismatch. Found 12x8-bit single-port RAM for signal . Summary: inferred 1 RAM(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213resource.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213resourcecore.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213.vhd". Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213.vhd" line 349: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213.vhd" line 349: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213.vhd" line 349: Output port of the instance is unconnected or connected to loadless signal. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 2 D-type flip-flop(s). inferred 9 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostock.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: inferred 7 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockmoduleid.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 16-bit register for signal . Found 33-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 11 | | Transitions | 23 | | Inputs | 7 | | Outputs | 7 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | nomodulepresent | | Power Up State | nomodulepresent | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 3-bit subtractor for signal > created at line 163. Found 8x57-bit Read Only RAM for signal <_n0417> Found 8-bit comparator equal for signal created at line 162 Summary: inferred 1 RAM(s). inferred 1 Adder/Subtractor(s). inferred 60 D-type flip-flop(s). inferred 1 Comparator(s). inferred 10 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criostockspiengine.vhd". Set property "IOB = TRUE" for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 8-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 25 | | Inputs | 5 | | Outputs | 13 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 8-bit adder for signal created at line 1241. Found 8-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Found 4-bit subtractor for signal > created at line 1308. Found 8-bit subtractor for signal > created at line 1308. Found 8-bit comparator equal for signal created at line 150 Found 8-bit comparator equal for signal created at line 205 INFO:Xst:2774 - HDL ADVISOR - IOB property attached to signal cMiso may hinder XST clustering optimizations. Summary: inferred 5 Adder/Subtractor(s). inferred 34 D-type flip-flop(s). inferred 2 Comparator(s). inferred 30 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213enablechainhandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 23 | | Inputs | 9 | | Outputs | 5 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforid | | Power Up State | waitforid | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 3-bit adder for signal created at line 1241. Summary: inferred 1 Adder/Subtractor(s). inferred 8 D-type flip-flop(s). inferred 14 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213iohandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 1-bit register for signal >. Found 8-bit register for signal . Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 8-bit register for signal >. Found 6-bit register for signal . Found 5-bit register for signal . Found 5-bit register for signal . Found 5-bit register for signal . Found 19-bit register for signal . Found 9-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 2-bit register for signal . Found 5-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 17 | | Transitions | 55 | | Inputs | 21 | | Outputs | 11 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idlenotready | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 6-bit adder for signal created at line 1241. Found 9-bit adder for signal created at line 1241. Found 5-bit adder for signal created at line 1241. Found 3 bit to 8 bit decoder compact to one-hot for signal created at line 553 Found 4 bit to 16 bit decoder compact to one-hot for signal created at line 627 Found 8-bit 5-to-1 multiplexer for signal created at line 469. Found 1-bit 16-to-1 multiplexer for signal created at line 628. Found 1-bit 16-to-1 multiplexer for signal created at line 635. Found 6-bit comparator not equal for signal created at line 418 Found 6-bit comparator lessequal for signal created at line 468 Found 6-bit comparator greater for signal created at line 552 Found 5-bit comparator lessequal for signal created at line 625 Summary: inferred 3 Adder/Subtractor(s). inferred 144 D-type flip-flop(s). inferred 4 Comparator(s). inferred 97 Multiplexer(s). inferred 2 Decoder(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213getcalconst.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 10-bit register for signal . Found 40-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 5 | | Transitions | 13 | | Inputs | 6 | | Outputs | 4 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waittorun | | Power Up State | waittorun | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 10-bit adder for signal created at line 1241. Found 3-bit adder for signal created at line 1241. Found 3-bit adder for signal created at line 1241. Summary: inferred 3 Adder/Subtractor(s). inferred 66 D-type flip-flop(s). inferred 19 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocalmemory.vhd". Set property "ram_style = distributed" for signal . Found 4x40-bit single-port RAM for signal . Summary: inferred 1 RAM(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213fxpscaledata.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 29-bit register for signal . Found 3-bit register for signal . Found 28-bit register for signal . INFO:Xst:1799 - State latchmult10 is never reached in FSM . INFO:Xst:1799 - State latchmult11 is never reached in FSM . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 5 | | Inputs | 1 | | Outputs | 4 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 29-bit adder for signal created at line 1584. Summary: inferred 1 Adder/Subtractor(s). inferred 62 D-type flip-flop(s). inferred 8 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9213updatehandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 7-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 6 | | Inputs | 3 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 7-bit adder for signal created at line 1241. Found 7-bit 3-to-1 multiplexer for signal created at line 77. Summary: inferred 1 Adder/Subtractor(s). inferred 9 D-type flip-flop(s). inferred 5 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205_resource.vhd". Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205resourcecore.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 26-bit register for signal . Found 2-bit register for signal . Found 2-bit adder for signal created at line 912. Summary: inferred 1 Adder/Subtractor(s). inferred 34 D-type flip-flop(s). inferred 6 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205commsm.vhd". Set property "syn_keep = true" for signal . Set property "S = YES" for signal . Set property "buffer_type = ibuf" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOBDELAY = NONE" for signal . Set property "IOB = TRUE" for signal . Set property "IOBDELAY = IFD" for signal . WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 8-bit register for signal . Found 6-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 19-bit register for signal . Found 15-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 15 | | Transitions | 39 | | Inputs | 17 | | Outputs | 9 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 19-bit adder for signal created at line 254. Found 6-bit adder for signal created at line 1241. Found 8-bit adder for signal created at line 498. Found 8-bit comparator greater for signal created at line 325 Summary: inferred 3 Adder/Subtractor(s). inferred 89 D-type flip-flop(s). inferred 1 Comparator(s). inferred 55 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criosourcesync.vhd". WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:37 - Detected unknown constraint/property "syn_useioff". This constraint/property is not supported by the current software release and will be ignored. Set property "IOB = FALSE" for signal . Set property "clock_signal = yes" for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Summary: inferred 9 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205controlsm.vhd". Set property "INIT = POWERUP" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Set property "IOB = TRUE" for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 5-bit register for signal . Found 6-bit register for signal . Found 3-bit register for signal . Found 33-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 24 | | Transitions | 86 | | Inputs | 30 | | Outputs | 14 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | reset | | Power Up State | powerup | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 6-bit adder for signal created at line 391. Found 8x1-bit Read Only RAM for signal Found 8x45-bit Read Only RAM for signal <_n1368> Found 6-bit comparator greater for signal created at line 263 Found 8-bit comparator equal for signal created at line 307 Summary: inferred 2 RAM(s). inferred 1 Adder/Subtractor(s). inferred 70 D-type flip-flop(s). inferred 2 Comparator(s). inferred 161 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205settriggers.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 16-bit register for signal . Found 4-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 9 | | Transitions | 18 | | Inputs | 4 | | Outputs | 4 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 18 D-type flip-flop(s). inferred 8 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205settingregs.vhd". Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal . Found 2-bit register for signal >. Found 5 bit to 32 bit decoder compact to one-hot for signal created at line 88 Found 2-bit 32-to-1 multiplexer for signal created at line 97. Summary: inferred 66 D-type flip-flop(s). inferred 1 Multiplexer(s). inferred 1 Decoder(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205settingregs.vhd". Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal >. Found 2-bit register for signal . Found 2-bit register for signal >. Found 5 bit to 32 bit decoder compact to one-hot for signal created at line 88 Found 2-bit 32-to-1 multiplexer for signal created at line 97. Summary: inferred 66 D-type flip-flop(s). inferred 1 Multiplexer(s). inferred 1 Decoder(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205iohandler.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 7-bit register for signal . Found 7-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found 4-bit register for signal . Found 6-bit register for signal . Found 34-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 14 | | Transitions | 29 | | Inputs | 8 | | Outputs | 6 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 2-bit adder for signal created at line 238. Found 6-bit adder for signal created at line 369. Found 6-bit adder for signal created at line 372. Found 6-bit adder for signal created at line 375. Found 16-bit comparator equal for signal created at line 252 Found 16-bit comparator equal for signal created at line 254 Found 6-bit comparator greater for signal created at line 324 Summary: inferred 4 Adder/Subtractor(s). inferred 92 D-type flip-flop(s). inferred 3 Comparator(s). inferred 31 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205genscanline.vhd". Found 32x5-bit Read Only RAM for signal Summary: inferred 1 RAM(s). inferred 3 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/criocalmemory.vhd". Set property "ram_style = distributed" for signal . Found 4x47-bit single-port RAM for signal . Summary: inferred 1 RAM(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205getcalconst.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 9-bit register for signal . Found 32-bit register for signal . Found 36-bit register for signal . Found 47-bit register for signal . Found 49-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 18 | | Inputs | 8 | | Outputs | 6 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | waitforrun | | Power Up State | waitforrun | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 33-bit subtractor for signal created at line 356. Found 9-bit adder for signal created at line 1241. Found 49-bit adder for signal created at line 1548. Found 44-bit adder for signal created at line 1548. Found 49-bit adder for signal created at line 1548. Found 4-bit adder for signal created at line 1241. Found 2-bit adder for signal created at line 1241. Found 3-bit adder for signal created at line 1241. Found 3-bit comparator greater for signal created at line 189 Found 9-bit comparator greater for signal created at line 192 Found 9-bit comparator greater for signal created at line 193 Summary: inferred 8 Adder/Subtractor(s). inferred 192 D-type flip-flop(s). inferred 3 Comparator(s). inferred 34 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio9205caldata.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 43-bit register for signal . Found 7-bit register for signal . Found 42-bit register for signal . Found 16-bit register for signal . Found 16-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | | Transitions | 6 | | Inputs | 2 | | Outputs | 2 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found 43-bit adder for signal created at line 1584. Found 43-bit subtractor for signal > created at line 2044. Found 1-bit 4-to-1 multiplexer for signal created at line 183. Found 1-bit 4-to-1 multiplexer for signal created at line 183. Summary: inferred 1 Adder/Subtractor(s). inferred 134 D-type flip-flop(s). inferred 19 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/crio80mhzclkres.vhd". Set property "syn_keep = true" for signal >. Set property "syn_keep = true" for signal >. Set property "syn_keep = true" for signal >. Set property "syn_keep = true" for signal . Set property "syn_keep = true" for signal . Set property "S = YES" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/idsel_timer.vhd". Set property "INIT = KIDSELTICKCOUNTDELAY" for signal . WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 21-bit register for signal . Found 1-bit register for signal >. Found 21-bit subtractor for signal > created at line 69. Summary: inferred 1 Adder/Subtractor(s). inferred 22 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/sleep.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/customarbfortopenablesportonrestopenablepassthru.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/customarbfortmax_ctl_5rhfpgareadportonresbushold.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 3-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 4 | | Inputs | 2 | | Outputs | 2 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 30 | | Inputs | 5 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | 000 | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 8 D-type flip-flop(s). inferred 12 Multiplexer(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbserializeaccess.vhd". Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Summary: inferred 5 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbpowerof2.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit subtractor for signal created at line 48. Summary: inferred 1 Adder/Subtractor(s). inferred 4 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 6 | | Transitions | 30 | | Inputs | 5 | | Outputs | 3 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | 000 | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 4 | | Inputs | 2 | | Outputs | 2 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 11 D-type flip-flop(s). inferred 17 Multiplexer(s). inferred 2 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbserializeaccess.vhd". Found 1-bit register for signal . Found 3-bit register for signal . Found 3-bit register for signal . Summary: inferred 7 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbpowerof2.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 3-bit register for signal . Found 3-bit subtractor for signal created at line 48. Summary: inferred 1 Adder/Subtractor(s). inferred 5 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/customarbforansteuerung_beenden_ctl_0rhfpgareadportonresbushold.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 4 | | Inputs | 2 | | Outputs | 2 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 10 D-type flip-flop(s). inferred 13 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found 2-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 4 | | Inputs | 2 | | Outputs | 2 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 16 D-type flip-flop(s). inferred 21 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbserializeaccess.vhd". Found 1-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Summary: inferred 9 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbpowerof2.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 4-bit subtractor for signal created at line 48. Summary: inferred 1 Adder/Subtractor(s). inferred 6 D-type flip-flop(s). inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/customarbformiteiolikeportonresinterface.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 4-bit register for signal . Found 2-bit register for signal . Found 66-bit register for signal . Found finite state machine for signal . ----------------------------------------------------------------------- | States | 3 | | Transitions | 4 | | Inputs | 2 | | Outputs | 2 | | Clock | Clk (rising_edge) | | Reset | aReset (positive) | | Reset type | asynchronous | | Reset State | idle | | Power Up State | idle | | Encoding | auto | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 80 D-type flip-flop(s). inferred 25 Multiplexer(s). inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/nifpgaarbrw.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. Synthesizing Unit . Related source file is "c:/nifpga/jobs/g2k2d0o_ksw9nkc/customarbfordinportonressleep.vhd". WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary: no macro. Unit synthesized. ========================================================================= HDL Synthesis Report Macro Statistics # RAMs : 29 1024x20-bit dual-port RAM : 1 12x8-bit single-port RAM : 3 16384x32-bit dual-port RAM : 1 16x20-bit single-port RAM : 1 16x32-bit single-port RAM : 1 16x35-bit single-port RAM : 1 16x8-bit single-port Read Only RAM : 1 32x5-bit single-port Read Only RAM : 1 4x1-bit single-port Read Only RAM : 1 4x2-bit single-port Read Only RAM : 1 4x40-bit single-port RAM : 1 4x47-bit single-port RAM : 1 4x6-bit single-port Read Only RAM : 1 4x66-bit single-port Read Only RAM : 1 512x24-bit dual-port RAM : 1 8x1-bit single-port Read Only RAM : 2 8x4-bit single-port Read Only RAM : 3 8x45-bit single-port Read Only RAM : 1 8x57-bit single-port Read Only RAM : 6 # Multipliers : 7 18x18-bit multiplier : 7 # Adders/Subtractors : 262 10-bit adder : 3 10-bit subtractor : 5 11-bit adder : 1 12-bit adder : 5 12-bit subtractor : 6 14-bit adder : 2 14-bit subtractor : 6 16-bit adder : 4 19-bit adder : 1 2-bit adder : 13 2-bit addsub : 1 2-bit subtractor : 4 20-bit adder : 1 21-bit subtractor : 2 25-bit adder : 1 26-bit adder : 3 26-bit subtractor : 4 27-bit adder : 3 29-bit adder : 1 3-bit adder : 15 3-bit addsub : 3 3-bit subtractor : 9 32-bit adder : 21 32-bit addsub : 3 32-bit subtractor : 6 33-bit subtractor : 1 37-bit adder : 1 37-bit addsub : 1 38-bit subtractor : 1 4-bit adder : 17 4-bit subtractor : 17 41-bit adder : 2 42-bit adder : 3 43-bit addsub : 1 44-bit adder : 1 45-bit adder : 2 49-bit adder : 2 5-bit adder : 15 5-bit subtractor : 9 54-bit adder : 1 6-bit adder : 20 6-bit subtractor : 4 7-bit adder : 8 7-bit subtractor : 3 8-bit adder : 8 8-bit addsub : 1 8-bit subtractor : 8 9-bit adder : 9 9-bit subtractor : 4 # Registers : 2531 1-bit register : 1804 10-bit register : 7 1089-bit register : 1 11-bit register : 1 12-bit register : 3 14-bit register : 3 15-bit register : 2 16-bit register : 22 18-bit register : 2 19-bit register : 2 2-bit register : 165 20-bit register : 8 21-bit register : 1 24-bit register : 68 26-bit register : 48 28-bit register : 1 29-bit register : 1 3-bit register : 36 32-bit register : 59 33-bit register : 44 336-bit register : 2 34-bit register : 2 35-bit register : 1 36-bit register : 13 37-bit register : 2 384-bit register : 2 4-bit register : 51 40-bit register : 1 41-bit register : 4 416-bit register : 1 42-bit register : 4 43-bit register : 1 45-bit register : 1 47-bit register : 1 49-bit register : 1 5-bit register : 22 54-bit register : 1 6-bit register : 19 60-bit register : 1 64-bit register : 6 66-bit register : 1 7-bit register : 14 736-bit register : 2 78-bit register : 3 8-bit register : 80 800-bit register : 1 816-bit register : 2 9-bit register : 10 96-bit register : 4 # Comparators : 100 10-bit comparator greater : 1 12-bit comparator equal : 3 13-bit comparator greater : 1 13-bit comparator lessequal : 1 16-bit comparator equal : 2 2-bit comparator greater : 4 2-bit comparator lessequal : 1 3-bit comparator greater : 11 3-bit comparator lessequal : 1 32-bit comparator equal : 9 32-bit comparator greater : 11 32-bit comparator lessequal : 3 4-bit comparator greater : 9 4-bit comparator lessequal : 3 5-bit comparator equal : 3 5-bit comparator greater : 5 5-bit comparator lessequal : 1 6-bit comparator greater : 5 6-bit comparator lessequal : 1 6-bit comparator not equal : 1 7-bit comparator equal : 1 8-bit comparator equal : 13 8-bit comparator greater : 1 8-bit comparator not equal : 1 9-bit comparator greater : 8 # Multiplexers : 5859 1-bit 16-to-1 multiplexer : 86 1-bit 2-to-1 multiplexer : 4085 1-bit 3-to-1 multiplexer : 12 1-bit 4-to-1 multiplexer : 16 10-bit 2-to-1 multiplexer : 23 10-bit 3-to-1 multiplexer : 3 11-bit 2-to-1 multiplexer : 3 12-bit 2-to-1 multiplexer : 27 14-bit 2-to-1 multiplexer : 7 16-bit 2-to-1 multiplexer : 43 18-bit 2-to-1 multiplexer : 31 19-bit 2-to-1 multiplexer : 19 2-bit 2-to-1 multiplexer : 136 2-bit 3-to-1 multiplexer : 3 2-bit 32-to-1 multiplexer : 2 20-bit 2-to-1 multiplexer : 6 21-bit 2-to-1 multiplexer : 2 22-bit 2-to-1 multiplexer : 1 24-bit 15-to-1 multiplexer : 6 24-bit 2-to-1 multiplexer : 110 25-bit 2-to-1 multiplexer : 4 26-bit 2-to-1 multiplexer : 81 27-bit 2-to-1 multiplexer : 3 28-bit 2-to-1 multiplexer : 2 29-bit 2-to-1 multiplexer : 2 3-bit 2-to-1 multiplexer : 80 3-bit 4-to-1 multiplexer : 1 3-bit 6-to-1 multiplexer : 1 32-bit 2-to-1 multiplexer : 74 33-bit 2-to-1 multiplexer : 184 34-bit 2-to-1 multiplexer : 12 35-bit 2-to-1 multiplexer : 2 36-bit 2-to-1 multiplexer : 11 37-bit 2-to-1 multiplexer : 10 38-bit 2-to-1 multiplexer : 1 4-bit 11-to-1 multiplexer : 1 4-bit 2-to-1 multiplexer : 103 4-bit 3-to-1 multiplexer : 4 4-bit 4-to-1 multiplexer : 1 41-bit 2-to-1 multiplexer : 10 42-bit 2-to-1 multiplexer : 4 43-bit 2-to-1 multiplexer : 6 44-bit 2-to-1 multiplexer : 1 45-bit 2-to-1 multiplexer : 6 47-bit 2-to-1 multiplexer : 1 49-bit 2-to-1 multiplexer : 7 5-bit 2-to-1 multiplexer : 148 54-bit 2-to-1 multiplexer : 6 6-bit 2-to-1 multiplexer : 76 6-bit 3-to-1 multiplexer : 1 64-bit 2-to-1 multiplexer : 4 66-bit 2-to-1 multiplexer : 3 7-bit 2-to-1 multiplexer : 175 7-bit 3-to-1 multiplexer : 1 78-bit 2-to-1 multiplexer : 3 8-bit 2-to-1 multiplexer : 166 8-bit 5-to-1 multiplexer : 1 9-bit 2-to-1 multiplexer : 38 96-bit 2-to-1 multiplexer : 4 # Logic shifters : 1 40-bit shifter logical left : 1 # Decoders : 9 1-of-16 decoder : 1 1-of-32 decoder : 4 1-of-8 decoder : 4 # Tristates : 115 1-bit tristate buffer : 115 # FSMs : 157 # Xors : 262 1-bit xor2 : 220 1-bit xor3 : 28 1-bit xor4 : 14 ========================================================================= INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. WARNING:Xst:638 - in unit Crio9223ClockCrossing Conflict on KEEP property on signal cSleep and fSleep fSleep signal will be lost. WARNING:Xst:638 - in unit Crio9223ClockCrossing Conflict on KEEP property on signal cIdSelTimerTick and fIdSelTimerTickPulse fIdSelTimerTickPulse signal will be lost. WARNING:Xst:638 - in unit Crio80MhzClkRes Conflict on KEEP property on signal ClkIn and Clk80Mhz_out<1> Clk80Mhz_out<1> signal will be lost. WARNING:Xst:638 - in unit Crio80MhzClkRes Conflict on KEEP property on signal N1 and Clk80Mhz_out<2> Clk80Mhz_out<2> signal will be lost. WARNING:Xst:638 - in unit Crio80MhzClkRes Conflict on KEEP property on signal ClkIn and Clk80Mhz_out<1>1 Clk80Mhz_out<1>1 signal will be lost. WARNING:Xst:638 - in unit Crio80MhzClkRes Conflict on KEEP property on signal Clk80Mhz_out<0> and Clk80Mhz_out<0>1 Clk80Mhz_out<0>1 signal will be lost. ========================================================================= * Advanced HDL Synthesis * ========================================================================= Reading core . Reading core . Loading core for timing and area information for instance . Loading core for timing and area information for instance . WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:1290 - Hierarchical block is unconnected in block . It will be removed from the design. WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 4-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 16-word x 8-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 4-word x 1-bit | | | weA | connected to signal | high | | addrA | connected to signal > | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 1-bit | | | weA | connected to signal | high | | addrA | connected to signal > | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 4-word x 2-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 4-word x 66-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3217 - HDL ADVISOR - Register currently described with an asynchronous reset, could be combined with distributed RAM for implementation on block RAM resources if you made this reset synchronous instead. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 4-word x 6-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into accumulator : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into accumulator : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into accumulator : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 512-word x 24-bit | | | mode | read-first | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 512-word x 24-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 16384-word x 32-bit | | | mode | read-first | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 16384-word x 32-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3226 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 1024-word x 20-bit | | | mode | read-first | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 1024-word x 20-bit | | | mode | write-first | | | clkB | connected to signal | rise | | addrB | connected to signal | | | doB | connected to signal | | | dorstB | connected to signal | high | | reset value | 00000000000000000000 | ----------------------------------------------------------------------- | optimization | speed | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 45-bit | | | weA | connected to signal | high | | addrA | connected to signal > | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 1-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 32-word x 5-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to signal | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3216 - HDL ADVISOR - LUT implementation is currently selected for the RAM . If you want the register to be removed and the RAM to be implemented as block RAM, please change the RAM implementation style accordingly. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 16-word x 20-bit | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3216 - HDL ADVISOR - LUT implementation is currently selected for the RAM . If you want the register to be removed and the RAM to be implemented as block RAM, please change the RAM implementation style accordingly. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 16-word x 35-bit | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3216 - HDL ADVISOR - LUT implementation is currently selected for the RAM . If you want the register to be removed and the RAM to be implemented as block RAM, please change the RAM implementation style accordingly. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 16-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3216 - HDL ADVISOR - LUT implementation is currently selected for the RAM . If you want the register to be removed and the RAM to be implemented as block RAM, please change the RAM implementation style accordingly. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 12-word x 8-bit | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3216 - HDL ADVISOR - LUT implementation is currently selected for the RAM . If you want the register to be removed and the RAM to be implemented as block RAM, please change the RAM implementation style accordingly. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 4-word x 40-bit | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3216 - HDL ADVISOR - LUT implementation is currently selected for the RAM . If you want the register to be removed and the RAM to be implemented as block RAM, please change the RAM implementation style accordingly. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 4-word x 47-bit | | | clkA | connected to signal | rise | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . Found pipelined multiplier on signal : - 1 pipeline level(s) found in a register connected to the multiplier macro output. Pushing register(s) into the multiplier macro. INFO:Xst:2385 - HDL ADVISOR - You can improve the performance of the multiplier Mmult_cMultIn1[17]_cMultIn2[17]_MuLt_0_OUT by adding 1 register level(s). Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 57-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 57-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 57-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . INFO:Xst:3218 - HDL ADVISOR - The RAM will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 57-bit | | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to internal node | | ----------------------------------------------------------------------- Unit synthesized (advanced). Synthesizing (advanced) Unit . The following registers are absorbed into counter : 1 register on signal . Unit synthesized (advanced). ========================================================================= Advanced HDL Synthesis Report Macro Statistics # RAMs : 29 1024x20-bit dual-port block RAM : 1 12x8-bit single-port distributed RAM : 3 16384x32-bit dual-port block RAM : 1 16x20-bit single-port distributed RAM : 1 16x32-bit single-port distributed RAM : 1 16x35-bit single-port distributed RAM : 1 16x8-bit single-port distributed Read Only RAM : 1 32x5-bit single-port distributed Read Only RAM : 1 4x1-bit single-port distributed Read Only RAM : 1 4x2-bit single-port distributed Read Only RAM : 1 4x40-bit single-port distributed RAM : 1 4x47-bit single-port distributed RAM : 1 4x6-bit single-port distributed Read Only RAM : 1 4x66-bit single-port distributed Read Only RAM : 1 512x24-bit dual-port block RAM : 1 8x1-bit single-port distributed Read Only RAM : 2 8x4-bit single-port distributed Read Only RAM : 3 8x45-bit single-port distributed Read Only RAM : 1 8x57-bit single-port distributed Read Only RAM : 6 # Multipliers : 7 18x18-bit registered multiplier : 7 # Adders/Subtractors : 201 1-bit subtractor : 1 10-bit adder : 2 10-bit subtractor : 1 10-bit subtractor borrow in : 1 11-bit adder : 1 12-bit adder : 3 12-bit subtractor : 6 13-bit subtractor : 3 14-bit adder : 2 14-bit subtractor : 1 14-bit subtractor borrow in : 1 19-bit adder : 2 2-bit adder : 3 2-bit addsub : 1 2-bit subtractor : 3 21-bit subtractor : 2 25-bit adder : 4 25-bit subtractor : 4 27-bit adder : 3 29-bit adder : 1 3-bit adder : 11 3-bit addsub : 2 3-bit subtractor : 9 32-bit adder : 14 32-bit subtractor : 4 37-bit adder : 1 37-bit addsub : 1 38-bit subtractor : 1 4-bit adder : 14 4-bit subtractor : 17 41-bit adder : 2 42-bit adder : 3 43-bit addsub : 1 44-bit adder : 1 45-bit adder : 2 49-bit adder : 2 5-bit adder : 8 5-bit subtractor : 6 5-bit subtractor borrow in : 1 54-bit adder : 1 6-bit adder : 15 6-bit subtractor : 2 7-bit adder : 8 7-bit subtractor : 3 8-bit adder : 7 8-bit addsub : 1 8-bit subtractor : 8 9-bit adder : 7 9-bit subtractor : 3 9-bit subtractor borrow in : 1 # Counters : 54 10-bit down counter : 1 10-bit up counter : 1 16-bit up counter : 4 2-bit up counter : 10 3-bit up counter : 4 3-bit updown counter : 1 32-bit down counter : 3 32-bit up counter : 7 4-bit down counter : 1 4-bit up counter : 7 5-bit down counter : 2 5-bit up counter : 6 6-bit down counter : 1 6-bit up counter : 1 8-bit up counter : 3 9-bit up counter : 2 # Accumulators : 3 32-bit updown loadable accumulator : 3 # Registers : 19534 Flip-Flops : 19534 # Comparators : 100 10-bit comparator greater : 1 12-bit comparator equal : 3 13-bit comparator greater : 1 13-bit comparator lessequal : 1 16-bit comparator equal : 2 2-bit comparator greater : 4 2-bit comparator lessequal : 1 3-bit comparator greater : 11 3-bit comparator lessequal : 1 32-bit comparator equal : 9 32-bit comparator greater : 11 32-bit comparator lessequal : 3 4-bit comparator greater : 9 4-bit comparator lessequal : 3 5-bit comparator equal : 3 5-bit comparator greater : 5 5-bit comparator lessequal : 1 6-bit comparator greater : 5 6-bit comparator lessequal : 1 6-bit comparator not equal : 1 7-bit comparator equal : 1 8-bit comparator equal : 13 8-bit comparator greater : 1 8-bit comparator not equal : 1 9-bit comparator greater : 8 # Multiplexers : 6760 1-bit 16-to-1 multiplexer : 86 1-bit 2-to-1 multiplexer : 5074 1-bit 3-to-1 multiplexer : 12 1-bit 4-to-1 multiplexer : 16 10-bit 2-to-1 multiplexer : 21 10-bit 3-to-1 multiplexer : 3 11-bit 2-to-1 multiplexer : 3 12-bit 2-to-1 multiplexer : 27 14-bit 2-to-1 multiplexer : 7 16-bit 2-to-1 multiplexer : 39 18-bit 2-to-1 multiplexer : 31 19-bit 2-to-1 multiplexer : 19 2-bit 2-to-1 multiplexer : 114 2-bit 3-to-1 multiplexer : 3 2-bit 32-to-1 multiplexer : 2 20-bit 2-to-1 multiplexer : 6 21-bit 2-to-1 multiplexer : 2 22-bit 2-to-1 multiplexer : 1 24-bit 15-to-1 multiplexer : 6 24-bit 2-to-1 multiplexer : 109 25-bit 2-to-1 multiplexer : 4 26-bit 2-to-1 multiplexer : 78 27-bit 2-to-1 multiplexer : 3 28-bit 2-to-1 multiplexer : 2 29-bit 2-to-1 multiplexer : 2 3-bit 2-to-1 multiplexer : 75 3-bit 4-to-1 multiplexer : 1 3-bit 6-to-1 multiplexer : 1 32-bit 2-to-1 multiplexer : 66 33-bit 2-to-1 multiplexer : 184 34-bit 2-to-1 multiplexer : 12 35-bit 2-to-1 multiplexer : 1 36-bit 2-to-1 multiplexer : 11 37-bit 2-to-1 multiplexer : 10 38-bit 2-to-1 multiplexer : 1 4-bit 11-to-1 multiplexer : 1 4-bit 2-to-1 multiplexer : 95 4-bit 3-to-1 multiplexer : 4 4-bit 4-to-1 multiplexer : 1 41-bit 2-to-1 multiplexer : 10 42-bit 2-to-1 multiplexer : 4 43-bit 2-to-1 multiplexer : 6 44-bit 2-to-1 multiplexer : 1 45-bit 2-to-1 multiplexer : 6 49-bit 2-to-1 multiplexer : 7 5-bit 2-to-1 multiplexer : 140 54-bit 2-to-1 multiplexer : 5 6-bit 2-to-1 multiplexer : 74 6-bit 3-to-1 multiplexer : 1 64-bit 2-to-1 multiplexer : 4 66-bit 2-to-1 multiplexer : 2 7-bit 2-to-1 multiplexer : 174 7-bit 3-to-1 multiplexer : 1 8-bit 2-to-1 multiplexer : 155 8-bit 5-to-1 multiplexer : 1 9-bit 2-to-1 multiplexer : 36 # Logic shifters : 1 40-bit shifter logical left : 1 # Decoders : 9 1-of-16 decoder : 1 1-of-32 decoder : 4 1-of-8 decoder : 4 # FSMs : 157 # Xors : 262 1-bit xor2 : 220 1-bit xor3 : 28 1-bit xor4 : 14 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= WARNING:Xst:1303 - From in and out of unit Miso.MisoMux, both signals Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> and SpiClk.SpiClkMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> have a KEEP attribute, signal Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> will be lost. WARNING:Xst:1303 - From in and out of unit Func.FuncMux, both signals Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> and SpiClk.SpiClkMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> have a KEEP attribute, signal Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> will be lost. WARNING:Xst:1303 - From in and out of unit Done_n.Done_nMux, both signals Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> and SpiClk.SpiClkMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> have a KEEP attribute, signal Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> will be lost. WARNING:Xst:1303 - From in and out of unit Trig.TrigMux, both signals Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> and SpiClk.SpiClkMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> have a KEEP attribute, signal Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> will be lost. WARNING:Xst:1303 - From in and out of unit OClk.OClkMux, both signals Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> and SpiClk.SpiClkMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> have a KEEP attribute, signal Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<1> will be lost. Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle | 00 reading | 01 done | 10 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------- State | Encoding ------------------------- idle | 00 writing | 01 writingwait | 10 done | 11 ------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with one-hot encoding. Optimizing FSM on signal with one-hot encoding. Optimizing FSM on signal with one-hot encoding. ----------------------- State | Encoding ----------------------- disabled | 001 disabling | 100 enabled | 010 ----------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ------------------------------------- State | Encoding ------------------------------------- idle | 0000 burst1 | 0001 checkformiteburstdenial | 0010 burst2 | 0011 burst3 | 0100 single1 | 0101 single2 | 0110 single3 | 0111 single4 | 1000 ------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle | 00 waiting | 01 done | 10 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 wait4disabledone | 001 wait4resetdone | 010 wait4pushresetdone | 011 wait4popresetdone | 100 wait4reenable | 101 wait4empty | 110 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle | 00 waiting | 01 done | 10 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 wait4disabledone | 001 wait4resetdone | 010 wait4pushresetdone | 011 wait4popresetdone | 100 wait4reenable | 101 wait4empty | 110 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- idle | 000 abletotransfer | 001 erroroccurred | 010 errordetected | 011 datashifted | 100 discardingbaddata | 101 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle | 0000 burst0 | 0001 burst1 | 0010 burst2 | 0011 burst3 | 0100 single1 | 0101 single2 | 0110 single3 | 0111 single4 | 1000 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle | 00 waiting | 01 done | 10 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 wait4disabledone | 001 wait4resetdone | 010 wait4pushresetdone | 011 wait4popresetdone | 100 wait4reenable | 101 wait4empty | 110 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------------- State | Encoding -------------------------------------- idle | 0000 waitforionoderequestdone | 0001 waitforionodecomplete | 0010 sendionodedone | 0011 waitforionoderelease | 0100 waitforeepromdone | 0101 nextidle | 0110 waitforhseio | 0111 hseioidle | 1000 -------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle | 00 running | 01 cleared | 10 done | 11 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------------- State | Encoding -------------------------------------- idle | 000 waitforconvpulsecomplete | 001 waitforsampledatavalid | 010 waitforrelease | 011 waitforminsampletime | 100 -------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- idle | 0000 savecalconst | 0001 waitforcalconst | 0010 latchmult00 | 0011 latchmult01 | 0100 latchmult10 | 0101 latchmult11 | 0110 donemult | 0111 getnextcalconst | 1000 checkcrcresult | 1001 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------ State | Encoding ------------------------ idle | 000 latchmult0 | 001 latchmult1 | 010 donemult | 011 update | 100 ------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------------- State | Encoding ------------------------------------- waitforhsiinputwritereq | 00 waitforfirstsamplecal | 01 waitforsecondsamplecal | 10 ------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ----------------------------------------- State | Encoding ----------------------------------------- waitfordefaultpinconfigdone | 0000 waitfordefaultspiconfigdone | 0001 waitformoderequest | 0010 waitforpinconfigdone | 0011 waitforspiconfigdone | 0100 waitformoderelease | 0101 waitforidlepinconfig | 0110 waitforidlespiconfig | 0111 waitforwakeuporinsertion | 1000 disablespireq | 1001 disablehsireq | 1010 enablehsireq | 1011 disablespirel | 1100 disablehsirel | 1101 enablehsirel | 1110 disablehsiidle | 1111 ----------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ---------------------------- State | Encoding ---------------------------- waitforrun | 000 microstep1 | 001 microstep2 | 010 delay | 011 updateoeonly | unreached waitforcartdet | 101 ---------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------------- State | Encoding ------------------------------------- waitforrun | 00 maskthreedatavalids | 01 waitforendoftransaction | 10 maskalldatavalids | 11 ------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------------- State | Encoding ------------------------------------- waitforrun | 00000 waitforgranted | 00001 sendreadcommand | 00010 readcommandack | 00011 firstaddrbyteack | 00100 sendfirstaddrbyte | 00101 sendsecondaddrbyte | 00110 readanotherbyte | 00111 waitforlastbyte | 01000 waitforreleased | 01001 waitfornotqueued | 01010 waitforcommandack | 01011 sendwriteenablecommand | 01100 sendwritecommand | 01101 writecommandack | 01110 sendfirstwriteaddrbyte | 01111 firstwriteaddrbyteack | 10000 sendsecondwriteaddrbyte | 10001 secondwriteaddrbyteack | 10010 sendwritedatabyte | 10011 finishwritecommand | 10100 sendreadstatuscommand | 10101 readstatuscommandack | 10110 checkwritestatus | 10111 ------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. ------------------------------- State | Encoding ------------------------------- waitforrun | 00 sendreadcommand | 01 readbytes | 11 waitforeepromdone | 10 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------------------- State | Encoding -------------------------------------------- waitforpbinit | 0000 waitformodulepowerup | 0001 waitforid | 0010 waitforinit | 0011 waitfordefaultmode | 0100 nomodule | 0101 wrongmodule | 0110 checkifeeprombusyforinit | 0111 revertdefaultmodeafterinitfail | 1000 initfailed | 1001 avoidinitracecondition | 1010 avoididracecondition | 1011 checkifeeprombusyforid | 1100 success | 1101 sleeping | 1110 -------------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 seteerun | 001 waitforeedone | 010 setenablechaindone | 011 nexteerun | 100 nextsetdone | 101 nextidle | 110 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------- State | Encoding ------------------------- idle | 000 primemult | 001 latchmult00 | 010 latchmult01 | 011 latchmult10 | 100 latchmult11 | 101 donemult | 110 suboffset | 111 ------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------------- State | Encoding --------------------------- idle | 0000 byte2 | 0001 byte1 | 0010 byte0 | 0011 spiwritedelay | 0100 initmodule | 0101 spiquiettime | 0110 idlenotready | 0111 waitfordata | 1000 --------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------------------------ State | Encoding ------------------------------------------------ reset | 0000 deb0 | 0001 deb1 | 0010 modulepresent | 0011 nomodule | 0100 modknownidsel1func1 | 0101 modknownidsel1func0 | 0110 modknownidsel0func0 | 0111 modknownidsel0func1 | 1000 drvidsellow | 1001 eneerdlines | 1010 eemode | 1011 exiteemode | 1100 exiteewaitidsel | 1101 waitaftereetocheckformoduleremoval | 1110 ------------------------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- idle | 000 sendreadcommand | 001 sendaddrmsb | 010 sendaddrlsb | 011 getdata | 100 setdonesignal | 101 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------------------- State | Encoding --------------------------------- nomodulepresent | 0000 idle | 0001 settoeemode | 0010 waitforeeread | 0011 correctdatareceived | 0100 waitformsnoterror | 0101 waitformserror | 0110 moduleerror | 0111 nextmsnoterror | 1000 nexteemode | 1001 nextmserror | 1010 --------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- idle | 0000 waitforcs | 0001 waitforspiclkhigh | 0010 waitforspiclklow | 0011 holdcs | 0100 setdonereleasecs | 0101 setdoneholdcs | 0110 releasecs | 0111 waitforcshigh | 1000 waitandrun | 1001 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- waitforid | 0000 incorrectmodule | 0001 reid | 0010 waitforeepromdone | 0011 idle | 0100 eeprom | 0101 aorequested | 0110 nextidlenoerror | 0111 nextwaitforid | 1000 convwaitruneenext | 1001 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------ State | Encoding ------------------------ idle | 00 update | 01 updatewait | 10 ------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ---------------------------------- State | Encoding ---------------------------------- waittorungetcalconst | 0000 savecalconst | 0001 waitforcalconst | 0010 latchmult00 | 0011 latchmult10 | 0100 latchmult01 | 0101 latchmult11 | 0110 donemult | 0111 idle | 1000 waitforfirstmult | 1001 checkcrcresult | 1010 recipwait | 1011 ---------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------ State | Encoding ------------------------------ idle | 000 waitforfirstmult | 001 latchmult00 | 010 latchmult01 | 011 latchmult10 | 100 latchmult11 | 101 donemult | 110 setupnextmult | 111 ------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------ State | Encoding ------------------------ notstarted | 00 first | 01 second | 10 ------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 spiwordrun | 001 waitforspiworddone | 010 diodone | 011 waitforlddone | 100 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 nextspiwordrun | 001 spiwordrun | 010 waitforspiworddone | 011 nextlddone | 100 lddone | 101 waitforreconfig | 110 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 seteerun | 001 waitforeedone | 010 setenablechaindone | 011 nexteerun | 100 nextsetdone | 101 nextidle | 110 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------ State | Encoding ------------------------------ idle | 000 transactionbyte3 | 001 transactionbyte2 | 010 transactionbyte1 | 011 transactionbyte0 | 100 ------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------------------------ State | Encoding ------------------------------------------------ reset | 0000 deb0 | 0001 deb1 | 0010 modulepresent | 0011 nomodule | 0100 modknownidsel1func1 | 0101 modknownidsel1func0 | 0110 modknownidsel0func0 | 0111 modknownidsel0func1 | 1000 drvidsellow | 1001 eneerdlines | 1010 eemode | 1011 exiteemode | 1100 exiteewaitidsel | 1101 waitaftereetocheckformoduleremoval | 1110 ------------------------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- idle | 000 sendreadcommand | 001 sendaddrmsb | 010 sendaddrlsb | 011 getdata | 100 setdonesignal | 101 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------------------- State | Encoding --------------------------------- nomodulepresent | 0000 idle | 0001 settoeemode | 0010 waitforeeread | 0011 correctdatareceived | 0100 waitformsnoterror | 0101 waitformserror | 0110 moduleerror | 0111 nextmsnoterror | 1000 nexteemode | 1001 nextmserror | 1010 --------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- idle | 0000 waitforcs | 0001 waitforspiclkhigh | 0010 waitforspiclklow | 0011 holdcs | 0100 setdonereleasecs | 0101 setdoneholdcs | 0110 releasecs | 0111 waitforcshigh | 1000 waitandrun | 1001 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 seteerun | 001 waitforeedone | 010 setenablechaindone | 011 nexteerun | 100 nextsetdone | 101 nextidle | 110 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- idle | 0000 findchannel | 0001 firstreset | 0010 sendchan | 0011 sendrdatac | 0100 convpulsewait | 0101 sendconvpulse | 0110 waitfordatadone | 0111 readdata | 1000 secondreset | 1001 nextchannel | 1010 nextidle | 1011 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. -------------------------- State | Encoding -------------------------- sendlastbyte | 00 sendbyte | 01 waitforrun | 10 -------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- waitforid | 0000 idle | 0001 eepromnoerror | 0010 incorrectmodule | 0011 eepromthencheckid | 0100 nextidlenoerror | 0101 nextwaitforid | 0110 nextwaitforid2 | 0111 waitfordatadone | 1000 initmodule | 1001 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ------------------------------------------------ State | Encoding ------------------------------------------------ reset | 0000 deb0 | 0001 deb1 | 0010 modulepresent | 0011 nomodule | 0100 modknownidsel1func1 | 0101 modknownidsel1func0 | 0110 modknownidsel0func0 | 0111 modknownidsel0func1 | 1000 drvidsellow | 1001 eneerdlines | 1010 eemode | 1011 exiteemode | 1100 exiteewaitidsel | 1101 waitaftereetocheckformoduleremoval | 1110 ------------------------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- idle | 000 sendreadcommand | 001 sendaddrmsb | 010 sendaddrlsb | 011 getdata | 100 setdonesignal | 101 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. --------------------------------- State | Encoding --------------------------------- nomodulepresent | 0000 idle | 0001 settoeemode | 0010 waitforeeread | 0011 correctdatareceived | 0100 waitformsnoterror | 0101 waitformserror | 0110 moduleerror | 0111 nextmsnoterror | 1000 nexteemode | 1001 nextmserror | 1010 --------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- idle | 0000 waitforcs | 0001 waitforspiclkhigh | 0010 waitforspiclklow | 0011 holdcs | 0100 setdonereleasecs | 0101 setdoneholdcs | 0110 releasecs | 0111 waitforcshigh | 1000 waitandrun | 1001 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- waittorun | 000 idle | 001 waitforcalconst | 010 getnextcalconst | 011 checkcrcresult | 100 savedata | 101 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ------------------------- State | Encoding ------------------------- idle | 00 latchmult00 | 01 latchmult01 | 10 donemult | 11 ------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------------- State | Encoding -------------------------------- idle | 000 seteerun | 001 waitforeedone | 010 setenablechaindone | 011 nexteerun | 100 nextsetdone | 101 nextidle | 110 -------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- idle | 00000 idlenotready | 00001 waitfordone | 00010 spistarted | 00011 getdata | 00100 nextconfigmode | 00101 setconfigrun | 00110 sendconfig | 00111 waitconfigdone | 01000 nextconfigdone | 01001 setconfigdone | 01010 loadfirstchan | 01011 sendchan | 01100 convert | 01101 readbackconfig | 01110 waitconfigmode | 01111 waitreconfigdelay | 10000 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------------------------ State | Encoding ------------------------------------------------ reset | 0000 deb0 | 0001 deb1 | 0010 modulepresent | 0011 nomodule | 0100 modknownidsel1func1 | 0101 modknownidsel1func0 | 0110 modknownidsel0func0 | 0111 modknownidsel0func1 | 1000 drvidsellow | 1001 eneerdlines | 1010 eemode | 1011 exiteemode | 1100 exiteewaitidsel | 1101 waitaftereetocheckformoduleremoval | 1110 ------------------------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- idle | 000 sendreadcommand | 001 sendaddrmsb | 010 sendaddrlsb | 011 getdata | 100 setdonesignal | 101 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------------------- State | Encoding --------------------------------- nomodulepresent | 0000 idle | 0001 settoeemode | 0010 waitforeeread | 0011 correctdatareceived | 0100 waitformsnoterror | 0101 waitformserror | 0110 moduleerror | 0111 nextmsnoterror | 1000 nexteemode | 1001 nextmserror | 1010 --------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- idle | 0000 waitforcs | 0001 waitforspiclkhigh | 0010 waitforspiclklow | 0011 holdcs | 0100 setdonereleasecs | 0101 setdoneholdcs | 0110 releasecs | 0111 waitforcshigh | 1000 waitandrun | 1001 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------- State | Encoding ------------------------------- waitforid | 0000 incorrectmodule | 0001 waitforeepromdone | 0010 idle | 0011 eeprom | 0100 iorequested | 0101 nextidlenoerror | 0110 nextwaitforid | 0111 reid | 1000 waittoruneeprom | 1001 ------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- waittorun | 000 idle | 001 waitforcalconst | 010 getnextcalconst | 011 checkcrcresult | 100 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------- State | Encoding ------------------------- idle | 000 latchmult00 | 001 latchmult01 | 010 latchmult10 | unreached latchmult11 | unreached donemult | 101 ------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. -------------------------- State | Encoding -------------------------- idle | 00 waittoupdate | 01 update | 10 -------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------------- State | Encoding ------------------------------------- idle | 0000 findfirsttwochs | 0001 genscanline | 0010 decideifprimed | 0011 notprimedfindnextch | 0100 notprimedwaitforscan | 0101 notprimedprepforfinish | 0110 primedfindnextch | 0111 primedwaitforscan | 1000 primedprepforfinish | 1001 postopfinishfirstch | 1010 postopfinishfirstchwait | 1011 postopfinishsecondch | 1100 done | 1101 ------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------------- State | Encoding --------------------------- idle | 0000 setaimodeinit | 0001 setaipwmhigh | 0010 setaipwmlow | 0011 setmodeonly | 0100 waitaipwm1 | 0101 waitaipwm2 | 0110 resetaipwm1 | 0111 finish | 1000 --------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with one-hot encoding. ------------------------------------------------------ State | Encoding ------------------------------------------------------ powerup | 000000000000000000000010 reset | 000000000000000000000001 checkidsel0 | 000000000000000000000100 checkidsel1 | 000000000000000000001000 enableeeprom | 000000000000000000100000 checkeeprom | 000000000000000001000000 waitfortristate | 000000000000000100000000 waitforidsel | 000000000000001000000000 idle | 000000000000100000000000 startproperty | 000000000010000000000000 waitproperty | 000000100000000000000000 waitfortristateafterprop | 000001000000000000000000 moduleerrorrun | 000000000000010000000000 moduleerrordone | 000100000000000000000000 waitforpropidsel | 000010000000000000000000 nomodule | 000000000000000000010000 updateterminalmode | 000000001000000000000000 updateinputrange | 000000000100000000000000 enablegetcalconst | 000000000000000010000000 runport | 000000010000000000000000 finishport | 001000000000000000000000 initoutputs | 000000000001000000000000 getcalconst | 010000000000000000000000 getcaldone | 100000000000000000000000 ------------------------------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------ State | Encoding ------------------------------ idle | 0000 waitafteridsel | 0001 waitforcs | 0010 eeclkhigh | 0011 eeclklow | 0100 ioclkhigh | 0101 ioclklow | 0110 eewrenclkhigh | 0111 eewrenclklow | 1000 eewrenreleasecs | 1001 waitforeewrite | 1010 waitforreleasecs | 1011 releasecs | 1100 sendconvert | 1101 waitafterconvert | 1110 ------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------------ State | Encoding ------------------------------ waitforrun | 0000 savecalconst | 0001 waitforcalconst | 0010 latchmult00 | 0011 latchmult01 | 0100 latchmult10 | 0101 latchmult11 | 0110 donemult | 0111 waitforfirstmult | 1000 checkcrcresult | 1001 ------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------- State | Encoding ------------------------- idle | 00 latchmult00 | 01 latchmult01 | 10 donemult | 11 ------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. Optimizing FSM on signal with gray encoding. Optimizing FSM on signal with gray encoding. --------------------- State | Encoding --------------------- idle_st | 000 init_st | 001 run_st | 011 loop_st | 110 end_st | 010 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle_st | 00 calc_st | 01 test_st | 10 end_st | 11 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. Optimizing FSM on signal with gray encoding. Optimizing FSM on signal with gray encoding. Optimizing FSM on signal with gray encoding. Optimizing FSM on signal with gray encoding. Optimizing FSM on signal with gray encoding. --------------------- State | Encoding --------------------- idle_st | 000 init_st | 001 run_st | 011 loop_st | 110 end_st | 010 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. --------------------- State | Encoding --------------------- idle_st | 000 init_st | 001 run_st | 011 loop_st | 110 end_st | 010 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. --------------------- State | Encoding --------------------- idle_st | 000 init_st | 001 run_st | 011 loop_st | 110 end_st | 010 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. --------------------- State | Encoding --------------------- idle_st | 000 init_st | 001 run_st | 011 loop_st | 110 end_st | 010 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. --------------------------------------- State | Encoding --------------------------------------- waitforresholderenablein | 000 waitforenableout | 001 waitfordatavalid | 010 assertenableout | 011 waitforresholderenableclr | 100 --------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- idle | 000 waitforrequest | 001 selectchannel | 010 waitforresource | 011 pulseupdate | 100 waitforenclear | 101 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ------------------- State | Encoding ------------------- 00 | 00 01 | 01 10 | 10 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with one-hot encoding. ---------------------------- State | Encoding ---------------------------- idle | 0001 waitforrequest | 0010 readdata | 0100 waitforenclear | 1000 ---------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------- State | Encoding ------------------- 00 | 00 01 | 01 10 | 10 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ----------------------------- State | Encoding ----------------------------- idle | 000 waitforrequest | 001 selectchannel | 010 waitforresource | 011 pulseupdate | 100 waitforenclear | 101 ----------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. Optimizing FSM on signal with user encoding. ------------------- State | Encoding ------------------- 00 | 00 01 | 01 10 | 10 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. ------------------------------------------------ State | Encoding ------------------------------------------------ idle | 00 enableindeasserted | 01 waituntilinternalclocksbecomevalid | unreached waituntilcomponentsinit | 11 enableinasserted | 10 enableindeassertionnotsupportederr | unreached ------------------------------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle | 00 assert1 | 01 assert2 | 10 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------ State | Encoding ------------------------ idle | 00 d_transfer | 01 clrreg | 10 ------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------- State | Encoding ------------------- 000 | 000 100 | 100 001 | 001 101 | 101 010 | 010 110 | 110 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------ State | Encoding ------------------------ idle | 00 d_transfer | 01 clrreg | 10 ------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------- State | Encoding ------------------- 000 | 000 100 | 100 001 | 001 101 | 101 010 | 010 110 | 110 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------ State | Encoding ------------------------ idle | 00 d_transfer | 01 clrreg | 10 ------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------ State | Encoding ------------------------ idle | 00 d_transfer | 01 clrreg | 10 ------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. ------------------------ State | Encoding ------------------------ idle | 00 d_transfer | 01 clrreg | 10 ------------------------ Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. ----------------------------------------------- State | Encoding ----------------------------------------------- idle | 000 waitforexternalcircuittoinit | 001 waitforbaseclkstobecomevalid | 011 waitforclkenablerequest | unreached waitforgatedbaseclkstobecomevalid | 010 waitfordervclkstobecomevalid | 110 waitforresetassertionduration | 111 waitfordiagrstdeasrtpropdly | 101 waitforhosttoassertdiagrst | 100 diagrstassertionnotsupportederr | unreached ----------------------------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with user encoding. --------------------- State | Encoding --------------------- idle | 00 assert1 | 01 assert2 | 10 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. ---------------------------------------------- State | Encoding ---------------------------------------------- waitforclkintobecomevalid | 00 waitforcmtoinitialize | 01 waitforcmtolock | 11 waitforbufgenassertionduration | unreached cmrunning | 10 waitforbufgendeassertionduration | unreached ---------------------------------------------- WARNING:Xst:1426 - The value init of the FF/Latch cModuleReqMode_1 hinder the constant cleaning in the block cRio9403LdHandler. You should achieve better results by setting this init to 1. INFO:Xst:1901 - Instance NiFpgaStockDcmInst0/DCMx in unit TheWindow of type DCM has been replaced by DCM_ADV WARNING:Xst:2973 - All outputs of instance of block are unconnected in block . Underlying logic will be removed. WARNING:Xst:2973 - All outputs of instance of block are unconnected in block . Underlying logic will be removed. WARNING:Xst:2973 - All outputs of instance of block are unconnected in block . Underlying logic will be removed. Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/Crio9223ClockCrossingx, both signals cSleep and window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqNum/iPush have a KEEP attribute, signal cSleep will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Trig.TrigMux/aSel and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Trig.TrigMux/aSel will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Done_n.Done_nMux/aSel and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Done_n.Done_nMux/aSel will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Func.FuncMux/aSel and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Func.FuncMux/aSel will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Miso.MisoMux/aSel and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Miso.MisoMux/aSel will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Mosi.MosiMux/aSel and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/Cs_n.Cs_nMux/aSel have a KEEP attribute, signal Mosi.MosiMux/aSel will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals OClk.OClkMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal OClk.OClkMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Trig.TrigMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Trig.TrigMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Done_n.Done_nMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Done_n.Done_nMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Conv_n.Conv_nMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Conv_n.Conv_nMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Cs_n.Cs_nMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Cs_n.Cs_nMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Miso.MisoMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Miso.MisoMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> will be lost. WARNING:Xst:1303 - From in and out of unit window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx, both signals Mosi.MosiMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> and window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel have a KEEP attribute, signal Mosi.MosiMux/Mux4.MuxLoop[1].MuxLocalSpace.Mux2Decide.MuxDecidedSpace.aDataInLocal<0> will be lost. WARNING:Xst:638 - in unit toplevel_gen Conflict on KEEP property on signal N1 and window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/BlkOut.oDataAckClkEnable window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/BlkOut.oDataAckClkEnable signal will be lost. WARNING:Xst:638 - in unit toplevel_gen Conflict on KEEP property on signal N1 and window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.oDataAckClkEnable window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.oDataAckClkEnable signal will be lost. WARNING:Xst:638 - in unit toplevel_gen Conflict on KEEP property on signal window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel and window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cState_FSM_FFd11 window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cState_FSM_FFd11 signal will be lost. WARNING:Xst:638 - in unit toplevel_gen Conflict on KEEP property on signal window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel and window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cState_FSM_FFd6 window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cState_FSM_FFd6 signal will be lost. WARNING:Xst:638 - in unit toplevel_gen Conflict on KEEP property on signal window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel and window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cState_FSM_FFd5 window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cState_FSM_FFd5 signal will be lost. Mapping all equations... WARNING:Xst - Unrecognized value ibuf. Accepted values for attribute buffer_type on local signal are: BUFG, BUFR, BUFH or NONE. Constraint is ignored. WARNING:Xst:1287 - Bad buffer "ibuf" constraint for inout port Building and optimizing final netlist ... Changing polarity of register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cIdSelEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cOthersEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cOthersEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cOthersEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cOthersEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cOthersEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cEeOutputsEn_3 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cIdSelEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cOthersEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_3 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cIdSelEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cOthersEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cEeOutputsEn_3 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_9 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_9 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_5 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_5 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_7 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_7 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_7_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_7_1 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_3 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_3 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_8 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_8 to handle IOB=TRUE attribute Changing polarity of register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdSelEn to handle IOB=TRUE attribute Replicating register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdOutputsEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdOutputsEn to handle IOB=TRUE attribute Replicating register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdOutputsEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdOutputsEn_1 to handle IOB=TRUE attribute Replicating register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cConvEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdOutputsEn_3 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cIdSelEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cOthersEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cOthersEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cEeOutputsEn_3 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cOthersEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cIdSelEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cOthersEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_3 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cIdSelEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_1 to handle IOB=TRUE attribute Replicating register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_2 to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cOthersEn to handle IOB=TRUE attribute Changing polarity of register window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn_3 to handle IOB=TRUE attribute Found area constraint ratio of 100 (+ 5) on block toplevel_gen, actual ratio is 136. Optimizing block to meet ratio 100 (+ 5) of 4800 slices : WARNING:Xst:2254 - Area constraint could not be met for block , final ratio is 136. Replicating register window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/Crio9213UpdateHandler/cConv_n_out to handle IOB=TRUE attribute FlipFlop window/theVI/Crio9211Resource2/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn has been replicated 3 time(s) FlipFlop window/theVI/Crio9211Resource7/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn has been replicated 3 time(s) FlipFlop window/theVI/Crio9211Resource8/Crio9211ResourceCorex/Crio9211x/cRioStock/ModeSelector/cEeOutputsEn has been replicated 3 time(s) FlipFlop window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cEeOutputsEn has been replicated 3 time(s) FlipFlop window/theVI/Crio9213Resource1/Crio9213ResourceCorex/Crio9213x/CrioStock/ModeSelector/cOthersEn has been replicated 2 time(s) FlipFlop window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_3 has been replicated 1 time(s) FlipFlop window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_5 has been replicated 1 time(s) FlipFlop window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_7 has been replicated 2 time(s) FlipFlop window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_8 has been replicated 1 time(s) FlipFlop window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiModeSelectorx/CrioCiPinConfigx/cCrioBusOe_9 has been replicated 1 time(s) FlipFlop window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cEeOutputsEn has been replicated 3 time(s) FlipFlop window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/ModeSelector/cOthersEn has been replicated 1 time(s) FlipFlop window/theVI/Crio9403Resource3/Crio9403ResourceCorex/Crio9403x/cRioStock/ModeSelector/cEeOutputsEn has been replicated 3 time(s) FlipFlop window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205ControlSmx/cIdOutputsEn has been replicated 3 time(s) Final Macro Processing ... Processing Unit : Found 2-bit shift register for signal . Found 2-bit shift register for signal . Unit processed. ========================================================================= Final Register Report Macro Statistics # Registers : 14887 Flip-Flops : 14887 # Shift Registers : 2 2-bit shift register : 2 ========================================================================= ========================================================================= * Partition Report * ========================================================================= Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- ========================================================================= * Design Summary * ========================================================================= Clock Information: ------------------ ----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | ----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+-------+ Clk40 | DCM_ADV:CLK2X | 770 | Clk40 | IBUFG+BUFG | 13773 | MiteClk | IBUFG+BUFG | 1010 | window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel| NONE(window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/BlkOut.oPushToggleToReadyx/DFlopx/FDCPEx)| 46 | dio46 | IBUF | 2 | ----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+-------+ INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. Asynchronous Control Signals Information: ---------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ Control Signal | Buffer(FF name) | Load | -------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ window/theVI/DiagramResetx/DiagramResetRegisterBlk.aDiagramResetLoc(window/theVI/DiagramResetx/DiagramResetRegisterBlk.AsyncDiagramRst/FDCPEx:Q) | BUFG(window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/Crio9220Initializerx/Crio9220GetCalConstx/cCalMemWrData_1) | 14253 | window/theVI/Crio9220Resource4/Crio9220ResourceCorex/Crio9220x/CrioCommIntx/CrioCiOutputMuxesx/OClk.OClkMux/aSel(XST_GND:G) | NONE(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.BlkFifo.NiFpgaFifox/NiFpgaFifoFlagsx/BlkAddr.SyncToIClkx/cAddrAUnsignedx/GenFlops[0].DFlopx/FDCPEx)| 833 | window/aBusReset(window/aBusReset1:O) | NONE(window/theVI/n_bushold/MiteClkShifter.ShiftRegister/SyncBusReset/PulseSyncBasex/iHoldSigInx/FDCPEx) | 421 | window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.FromPushDblSync/DoubleSyncBasex/N0(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.FromPushDblSync/DoubleSyncBasex/XST_GND:G)| NONE(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.FromPushDblSync/DoubleSyncBasex/iDlySigx/FDCPEx) | 3 | window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.ToPushDblSync/DoubleSyncBasex/N0(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.ToPushDblSync/DoubleSyncBasex/XST_GND:G) | NONE(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.ToPushDblSync/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/FDCPEx) | 3 | window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.FromPushDblSync/DoubleSyncBasex/N0(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.FromPushDblSync/DoubleSyncBasex/XST_GND:G)| NONE(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.FromPushDblSync/DoubleSyncBasex/iDlySigx/FDCPEx) | 3 | window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.ToPushDblSync/DoubleSyncBasex/N0(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.ToPushDblSync/DoubleSyncBasex/XST_GND:G) | NONE(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Input.FifoClearController/PushSynchNeeded.ToPushDblSync/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/FDCPEx) | 3 | window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Output.FifoClearController/PopSynchNeeded.FromPopDblSync/DoubleSyncBasex/N0(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Output.FifoClearController/PopSynchNeeded.FromPopDblSync/DoubleSyncBasex/XST_GND:G) | NONE(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Output.FifoClearController/PopSynchNeeded.FromPopDblSync/DoubleSyncBasex/iDlySigx/FDCPEx) | 3 | window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Output.FifoClearController/PopSynchNeeded.ToPopDblSync/DoubleSyncBasex/N0(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Output.FifoClearController/PopSynchNeeded.ToPopDblSync/DoubleSyncBasex/XST_GND:G) | NONE(window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChannel.MiteDmaComponentx/EnableChains/Output.FifoClearController/PopSynchNeeded.ToPopDblSync/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/FDCPEx) | 3 | window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/iIResetFast(window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/BlkOut.SyncIReset/c1ResetFastLclx/DFlopx/FDCPEx:Q) | NONE(window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/BlkIn.iPushTogglex/DFlopx/FDCPEx) | 1 | window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/iIResetFast(window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/BlkOut.SyncIReset/c1ResetFastLclx/DFlopx/FDCPEx:Q) | NONE(window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCrossing.BusClkToReliableClkHS/BlkIn.iPushTogglex/DFlopx/FDCPEx) | 1 | window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205CommSmx/GenerateSsClkShiftReg.CrioSourceSync/oEdgeDetectedFE(window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205CommSmx/GenerateSsClkShiftReg.CrioSourceSync/oEdgeDetectedFE:Q) | NONE(window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205CommSmx/GenerateSsClkShiftReg.CrioSourceSync/aEdgeDetectorOutput) | 1 | window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/iIResetFast(window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/BlkOut.SyncIReset/c1ResetFastLclx/DFlopx/FDCPEx:Q) | NONE(window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqAck/BlkIn.iPushTogglex/DFlopx/FDCPEx) | 1 | window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqNum/BlkOut.SyncIReset/c1NxResetFastLcl(window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqNum/BlkOut.SyncIReset/c1ResetFastLclx/DFlopx/FDCPEx:Q) | NONE(window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeIrqNum/BlkIn.iPushTogglex/DFlopx/FDCPEx) | 1 | window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/iIResetFast(window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkOut.SyncIReset/c1ResetFastLclx/DFlopx/FDCPEx:Q) | NONE(window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkIn.iPushTogglex/DFlopx/FDCPEx) | 1 | INFO:TclTasksC:1850 - process run : Synthesize - XST is done. window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/iIResetFast(window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.SyncIReset/c1ResetFastLclx/DFlopx/FDCPEx:Q) | NONE(window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkIn.iPushTogglex/DFlopx/FDCPEx) | 1 | -------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------+ Timing Summary: --------------- Speed Grade: -1 Minimum period: 12.906ns (Maximum Frequency: 77.485MHz) Minimum input arrival time before clock: 5.423ns Maximum output required time after clock: 4.776ns Maximum combinational path delay: No path found ========================================================================= WARNING:Xst:615 - Flip flop associated with net dio10 not found, property IOB not attached. WARNING:Xst:615 - Flip flop associated with net dio48 not found, property IOB not attached. WARNING:Xst:615 - Flip flop associated with net dio60 not found, property IOB not attached. WARNING:Xst:615 - Flip flop associated with net dio70 not found, property IOB not attached. WARNING:Xst:3152 - You have chosen to run a version of XST which is not the default solution for the specified device family. You are free to use it in order to take advantage of its enhanced HDL parsing/elaboration capabilities. However, please be aware that you may be impacted by language support differences. This version may also result in circuit performance and device utilization differences for your particular design. You can always revert back to the default XST solution by setting the "use_new_parser" option to value "no" on the XST command line or in the XST process properties panel. Process "Synthesize - XST" completed successfully INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Adapter16.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_246.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_27.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataRd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataWr.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio80MhzClkRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9205ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9208IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213FxpScaleData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Initializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SampleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResourceLogic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ClockCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ErrorDecode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223HseioReadHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoNodeResHolder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleSerializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleValidDelay.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403CommHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DiIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DioHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DoIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403LdHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SpiWord.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeDetection.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeIdentification.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCrcCheck.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiEeprom.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInitSequence.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInputFlops.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelectorControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiOutputMuxes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPicoBeatleInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPinConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPulseMask.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioClockCondition.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioParallelCrcCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioSourceSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForAnsteuerung_beenden_ctl_0RHFpgaRe adPortOnResbushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForMiteIoLikePortOnResInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTmax_ctl_5RHFpgaReadPortOnResbush old.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTopEnablesPortOnResTopEnablePassT hru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbFordinPortOnResSleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolVec.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSlvResetVal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopUnsigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DiagramReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaDisabler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteReadRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteWriteRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncAsyncInBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBoolAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSlAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainSM.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainWithTimeout.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FeedbackNonSctlCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoReadAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoWriteAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FloatingFeedbackGInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpAddSub.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivPreproc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivRnd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivSigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDynamicShift.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpNormalize.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpShiftCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/GenDataValid.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBaseResetCross.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/IDSel_Timer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Interface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/InvisibleResholder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponent.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponentEnableChain.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaInput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaOutput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterfaceOutputEnables.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteIrq.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000001_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000012_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000020_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructure_64.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002e_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000032_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000041_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000042_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000004b_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000058_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000059_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005a_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005c_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000082_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008e_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008f_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000091_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000092_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000ac_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000bc_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000de_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000df_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e1_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e2_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e9_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000fb_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000010d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_Speichern4_dash_2FPGA.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbDelayer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbPowerOf2.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbRW.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbSerializeAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOpNot.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaClockManagerControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaCtrlIndRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam_Inferred.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoClearControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoCountControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPopBuffer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPortReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFlipFlopFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaHostAccessibleRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderWrite.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLoopTimer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMergeErrors.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPipelinedOrGateTreeSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPulseSyncBaseWrapper.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegFrameworkShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCoreBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleModuloCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaStockDcm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFixedToFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompareCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivideCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiplyCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixed.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixedCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandlerSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiCycleEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFixedPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFloatingPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToInteger.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Divide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Equal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Greater.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32GreaterOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Less.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32LessOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Multiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32NotEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommIntConfiguration.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommunicationInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9211Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9223Shared.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9403IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpArithmetic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaArbiter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaCoresFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifoGenericValue.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaIrqRegisters.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaViControlRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiLvPrims.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess32.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ResetSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SafeBusCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Sleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrInd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrIndOpt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SyncFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TheWindow.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TimeoutManager.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TopEnablePassThru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViSignature.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_132.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_173.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_185.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_189.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_202.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_136.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_175.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_187.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_232.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/bushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CommSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205ControlSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GenScanLine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SetTriggers.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SettingRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_SyncRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_io.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalReciprocal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMemory.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalParallelCrc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStock.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModuleId.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/forloop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_r_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_w_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMaxArray_vi_colon_Clone0.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMinArray_vi.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/whileloop.vhd" into library work INFO:ProjectMgmt - Parsing design hierarchy completed successfully. WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl Started : "Translate". Running ngdbuild... Command Line: ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -u -uc toplevel_gen.ucf -p xc5vlx30-ff676-1 toplevel_gen.ngc toplevel_gen.ngd Command Line: C:\NIFPGA\programs\Xilinx13_4\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle ise -dd _ngo -aul -nt timestamp -u -uc toplevel_gen.ucf -p xc5vlx30-ff676-1 toplevel_gen.ngc toplevel_gen.ngd Reading NGO file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.ngc" ... Loading design module "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc/NiLvXipFloat32Divide.ngc"... Loading design module "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc/NiLvXipFloat32Multiply.ngc"... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file "toplevel_gen.ucf" ... Resolving constraint associations... Checking Constraint Associations... WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoAddr<*>'. WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoDmaAck_n<*>'. WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoRd_n'. WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoWt_n'. WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoRamSel_n'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(713)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoData<*>'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(713)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'AsyncFromAddrDackIorIowRamsel'. WARNING:ConstraintSystem:56 - Constraint " "mIoDtack_n";> [toplevel_gen.ucf(714)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'aIoInt*'. WARNING:ConstraintSystem:56 - Constraint " "mIoDtack_n";> [toplevel_gen.ucf(714)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoDmaReq<*>'. WARNING:ConstraintSystem:56 - Constraint " "mIoDtack_n";> [toplevel_gen.ucf(714)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoDtack_n'. WARNING:ConstraintSystem:56 - Constraint ";> [toplevel_gen.ucf(715)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'AsyncToIrqDrqDtk'. WARNING:ConstraintSystem:56 - Constraint ";> [toplevel_gen.ucf(715)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoReady'. WARNING:ConstraintSystem:56 - Constraint ";> [toplevel_gen.ucf(715)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoData<*>'. ERROR:ConstraintSystem:59 - Constraint [toplevel_gen.ucf(717)]: PADS "AsyncFromDataAddrDackIorIowRamsel" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constraint source file. ERROR:ConstraintSystem:59 - Constraint [toplevel_gen.ucf(717)]: PADS "AsyncToIrqDrqDtk" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constraint source file. ERROR:ConstraintSystem:59 - Constraint ) 0 ns;> [toplevel_gen.ucf(721)]: PADS "AsyncFromAddrDackIorIowRamsel" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constraint source file. ERROR:ConstraintSystem:59 - Constraint [toplevel_gen.ucf(724)]: PADS "AsyncFromDataAddrDackIorIowRamsel" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constraint source file. ERROR:ConstraintSystem:59 - Constraint [toplevel_gen.ucf(727)]: PADS "mIoHWord_n" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constraint source file. ERROR:ConstraintSystem:59 - Constraint [toplevel_gen.ucf(727)]: PADS "AsyncToIrqDrqDtkRdyData" not found. Please verify that: 1. The specified design element actually exists in the original design. 2. The specified object is spelled correctly in the constraint source file. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(734)]: INST "*Crio9220Resource4/*/PulseSyncIdSelTimerTickx/*iHoldSigInx/FDCPEx" does not match any design objects. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(735)]: INST "*Crio9220Resource4/*/PulseSyncIdSelTimerTickx/*oHoldSigIn_msx/FDCPEx" does not match any design objects. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(736)]: INST "*Crio9220Resource4/*/PulseSyncIdSelTimerTickx/*oSigReturn*" does not match any design objects. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(737)]: INST "*Crio9220Resource4/*/PulseSyncIdSelTimerTickx/*iSigOut_msx/FDCPEx" does not match any design objects. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(738)]: INST "*Crio9220Resource4/*/PulseSyncIdSelTimerTickx/*oLocalSigOutCEx/FDCPEx" does not match any design objects. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(745)]: INST "*n_bushold/*ShiftRegister/SyncBusReset/*oSigReturn*" does not match any design objects. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(772)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_iHoldSigIn_Crio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(772)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_oHoldSigIn_msCrio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(773)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_oSigReturn_Crio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(773)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_iSigOut_msCrio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(774)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_oLocalSigOutCE_Crio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(774)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_iSigOut_msCrio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(794)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_oSigReturn_n_bushold/*ShiftRegister/SyncBusReset'. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(822)]: FFS "*ViControlx*rGatedClkStartupErr" does not match any design objects. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(823)]: FFS "*ViControlx*rEnableDeassertionErr" does not match any design objects. ERROR:ConstraintSystem:58 - Constraint [toplevel_gen.ucf(824)]: FFS "*ViControlx*rDiagramResetAssertionErr" does not match any design objects. WARNING:ConstraintSystem:193 - The TNM 'TNM_iHoldSigIn_Crio9220Resource4/*/PulseSyncIdSelTimerTickx', does not directly or indirectly drive any flip-flops, latches and/or RAMs and cannot be actively used by the referencing MaxDelay constraint 'TS_CustomFromTo0'. If clock manager blocks are directly or indirectly driven, a new TNM constraint will not be derived since the referencing constraint is not a PERIOD constraint. This TNM is used in the following user group or specification: [toplevel_gen.ucf(772)] WARNING:ConstraintSystem:197 - The following specification is invalid because the referenced TNM constraint was removed: [toplevel_gen.ucf(772)] WARNING:ConstraintSystem:193 - The TNM 'TNM_oHoldSigIn_msCrio9220Resource4/*/PulseSyncIdSelTimerTickx', does not directly or indirectly drive any flip-flops, latches and/or RAMs and cannot be actively used by the referencing MaxDelay constraint 'TS_CustomFromTo0'. If clock manager blocks are directly or indirectly driven, a new TNM constraint will not be derived since the referencing constraint is not a PERIOD constraint. This TNM is used in the following user group or specification: [toplevel_gen.ucf(772)] WARNING:ConstraintSystem:197 - The following specification is invalid because the referenced TNM constraint was removed: [toplevel_gen.ucf(772)] WARNING:ConstraintSystem:193 - The TNM 'TNM_oSigReturn_Crio9220Resource4/*/PulseSyncIdSelTimerTickx', does not directly or indirectly drive any flip-flops, latches and/or RAMs and cannot be actively used by the referencing MaxDelay constraint 'TS_CustomFromTo1'. If clock manager blocks are directly or indirectly driven, a new TNM constraint will not be derived since the referencing constraint is not a PERIOD constraint. This TNM is used in the following user group or specification: [toplevel_gen.ucf(773)] WARNING:ConstraintSystem:197 - The following specification is invalid because the referenced TNM constraint was removed: [toplevel_gen.ucf(773)] WARNING:ConstraintSystem:192 - The TNM 'TNM_iSigOut_msCrio9220Resource4/*/PulseSyncIdSelTimerTickx', does not directly or indirectly drive any flip-flops, latches and/or RAMS and cannot be actively used by the referencing MaxDelay constraint 'TS_CustomFromTo1'. If clock manager blocks are directly or indirectly driven, a new TNM constraint will not be derived since the none of the referencing constraints are a PERIOD constraint. This TNM is used in the following user groups and/or specifications: [toplevel_gen.ucf(773)] [toplevel_gen.ucf(774)] WARNING:ConstraintSystem:197 - The following specification is invalid because the referenced TNM constraint was removed: [toplevel_gen.ucf(773)] WARNING:ConstraintSystem:197 - The following specification is invalid because the referenced TNM constraint was removed: [toplevel_gen.ucf(774)] WARNING:ConstraintSystem:193 - The TNM 'TNM_oLocalSigOutCE_Crio9220Resource4/*/PulseSyncIdSelTimerTickx', does not directly or indirectly drive any flip-flops, latches and/or RAMs and cannot be actively used by the referencing MaxDelay constraint 'TS_CustomFromTo2'. If clock manager blocks are directly or indirectly driven, a new TNM constraint will not be derived since the referencing constraint is not a PERIOD constraint. This TNM is used in the following user group or specification: [toplevel_gen.ucf(774)] WARNING:ConstraintSystem:197 - The following specification is invalid because the referenced TNM constraint was removed: [toplevel_gen.ucf(774)] WARNING:ConstraintSystem:193 - The TNM 'TNM_oSigReturn_n_bushold/*ShiftRegister/SyncBusReset', does not directly or indirectly drive any flip-flops, latches and/or RAMs and cannot be actively used by the referencing MaxDelay constraint 'TS_CustomFromTo22'. If clock manager blocks are directly or indirectly driven, a new TNM constraint will not be derived since the referencing constraint is not a PERIOD constraint. This TNM is used in the following user group or specification: [toplevel_gen.ucf(794)] WARNING:ConstraintSystem:197 - The following specification is invalid because the referenced TNM constraint was removed: [toplevel_gen.ucf(794)] Done... WARNING:NgdBuild:1212 - User specified non-default attribute value (25.0) was detected for the CLKIN_PERIOD attribute on DCM "window/NiFpgaStockDcmInst0/DCMx". This does not match the PERIOD constraint value (24.99 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. Checking expanded design ... WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkFifo.NiFpgaFifox/NiFpgaFifoFlagsx/GenDataValidx/DFlopBoolVecx/DFlopSLVx/Ge nFlops[2].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.ODataFlop/GenFlops[0].DFlopx /FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.ODataFlop/GenFlops[1].DFlopx /FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Input.FifoClearController/NiFpgaFifoPortR esetx/Crossing.PopToPush/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Input.FifoClearController/NiFpgaFifoPortR esetx/Crossing.PushToPop/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.ODataFlop/GenFlops[1].DFlopx /FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.ODataFlop/GenFlops[0].DFlopx /FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkFifo.NiFpgaFifox/NiFpgaFifoFlagsx/GenDataValidx/DFlopBoolVecx/DFlopSLVx/Ge nFlops[2].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Input.FifoClearController/NiFpgaFifoPortR esetx/Crossing.PushToPop/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Input.FifoClearController/NiFpgaFifoPortR esetx/Crossing.PopToPush/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaCha nnel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFi fo.BlkFifo.Fifox/NiFpgaFifoFlagsx/GenDataValidx/DFlopBoolVecx/DFlopSLVx/GenFl ops[4].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Output.FifoClearController/NiFpgaFifoPort Resetx/Crossing.PopToPush/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Output.FifoClearController/NiFpgaFifoPort Resetx/Crossing.PushToPop/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oPushToggle0_msx/DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.SyncOReset/c2ResetFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.SyncIReset/c2ResetFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[0].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[1].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[2].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[3].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[4].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[5].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[6].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[7].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[8].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[9].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[10].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[11].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[12].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[13].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[14].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[15].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[16].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[17].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[18].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[19].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[20].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[21].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[22].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[23].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[24].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[25].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[26].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[27].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[28].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[29].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[30].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[31].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqNum/BlkOut.SyncOReset/c2ResetFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.SyncOReset/c2Re setFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkOut.SyncOReset/c2 ResetFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_bushold/MiteClkShifter.ShiftRegister/SyncBusReset/PulseSyncBa sex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_bushold/Clk40Shifter.ShiftRegister/SyncBusReset/PulseSyncBase x/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCro ssing.BusClkToReliableClkHS/BlkOut.SyncOReset/c2ResetFe_msx/DFlopFallingEdgex /FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomain Crossing.BusClkToReliableClkHS/BlkOut.oDataFlopx/GenFlops[0].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomain Crossing.BusClkToReliableClkHS/BlkOut.SyncOReset/c2ResetFe_msx/DFlopFallingEd gex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.SyncOReset/c1NxResetFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.SyncOReset/c1Re setFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkOut.SyncOReset/c1 ResetFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:483 - Attribute "INIT" on INFO:TclTasksC:1850 - process run : Translate is done. "window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCro ssing.BusClkToReliableClkHS/BlkOut.SyncOReset/c1ResetFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomain Crossing.BusClkToReliableClkHS/BlkOut.SyncOReset/c1ResetFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:470 - bidirect pad net 'temp_miso' has no legal driver Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- NGDBUILD Design Results Summary: Number of errors: 15 Number of warnings: 96 Total REAL time to NGDBUILD completion: 1 min 21 sec Total CPU time to NGDBUILD completion: 1 min 9 sec One or more errors were found during NGDBUILD. No NGD file will be written. Writing NGDBUILD log file "toplevel_gen.bld"... Process "Translate" failed INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Adapter16.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_246.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_27.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataRd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataWr.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio80MhzClkRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9205ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9208IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213FxpScaleData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Initializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SampleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResourceLogic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ClockCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ErrorDecode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223HseioReadHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoNodeResHolder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleSerializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleValidDelay.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403CommHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DiIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DioHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DoIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403LdHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SpiWord.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeDetection.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeIdentification.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCrcCheck.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiEeprom.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInitSequence.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInputFlops.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelectorControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiOutputMuxes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPicoBeatleInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPinConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPulseMask.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioClockCondition.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioParallelCrcCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioSourceSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForAnsteuerung_beenden_ctl_0RHFpgaRe adPortOnResbushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForMiteIoLikePortOnResInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTmax_ctl_5RHFpgaReadPortOnResbush old.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTopEnablesPortOnResTopEnablePassT hru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbFordinPortOnResSleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolVec.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSlvResetVal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopUnsigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DiagramReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaDisabler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteReadRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteWriteRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncAsyncInBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBoolAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSlAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainSM.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainWithTimeout.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FeedbackNonSctlCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoReadAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoWriteAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FloatingFeedbackGInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpAddSub.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivPreproc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivRnd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivSigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDynamicShift.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpNormalize.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpShiftCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/GenDataValid.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBaseResetCross.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/IDSel_Timer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Interface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/InvisibleResholder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponent.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponentEnableChain.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaInput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaOutput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterfaceOutputEnables.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteIrq.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000001_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000012_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000020_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructure_64.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002e_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000032_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000041_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000042_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000004b_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000058_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000059_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005a_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005c_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000082_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008e_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008f_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000091_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000092_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000ac_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000bc_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000de_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000df_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e1_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e2_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e9_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000fb_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000010d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_Speichern4_dash_2FPGA.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbDelayer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbPowerOf2.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbRW.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbSerializeAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOpNot.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaClockManagerControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaCtrlIndRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam_Inferred.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoClearControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoCountControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPopBuffer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPortReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFlipFlopFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaHostAccessibleRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderWrite.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLoopTimer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMergeErrors.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPipelinedOrGateTreeSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPulseSyncBaseWrapper.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegFrameworkShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCoreBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleModuloCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaStockDcm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFixedToFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompareCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivideCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiplyCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixed.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixedCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandlerSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiCycleEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFixedPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFloatingPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToInteger.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Divide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Equal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Greater.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32GreaterOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Less.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32LessOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Multiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32NotEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommIntConfiguration.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommunicationInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9211Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9223Shared.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9403IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpArithmetic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaArbiter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaCoresFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifoGenericValue.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaIrqRegisters.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaViControlRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiLvPrims.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess32.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ResetSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SafeBusCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Sleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrInd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrIndOpt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SyncFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TheWindow.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TimeoutManager.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TopEnablePassThru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViSignature.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_132.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_173.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_185.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_189.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_202.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_136.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_175.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_187.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_232.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/bushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CommSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205ControlSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GenScanLine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SetTriggers.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SettingRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_SyncRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_io.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalReciprocal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMemory.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalParallelCrc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStock.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModuleId.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/forloop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_r_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_w_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMaxArray_vi_colon_Clone0.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMinArray_vi.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/whileloop.vhd" into library work INFO:ProjectMgmt - Parsing design hierarchy completed successfully. WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Adapter16.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_246.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_27.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataRd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataWr.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio80MhzClkRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9205ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9208IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213FxpScaleData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Initializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SampleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResourceLogic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ClockCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ErrorDecode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223HseioReadHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoNodeResHolder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleSerializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleValidDelay.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403CommHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DiIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DioHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DoIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403LdHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SpiWord.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeDetection.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeIdentification.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCrcCheck.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiEeprom.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInitSequence.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInputFlops.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelectorControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiOutputMuxes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPicoBeatleInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPinConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPulseMask.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioClockCondition.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioParallelCrcCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioSourceSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForAnsteuerung_beenden_ctl_0RHFpgaRe adPortOnResbushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForMiteIoLikePortOnResInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTmax_ctl_5RHFpgaReadPortOnResbush old.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTopEnablesPortOnResTopEnablePassT hru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbFordinPortOnResSleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolVec.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSlvResetVal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopUnsigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DiagramReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaDisabler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteReadRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteWriteRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncAsyncInBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBoolAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSlAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainSM.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainWithTimeout.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FeedbackNonSctlCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoReadAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoWriteAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FloatingFeedbackGInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpAddSub.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivPreproc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivRnd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivSigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDynamicShift.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpNormalize.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpShiftCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/GenDataValid.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBaseResetCross.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/IDSel_Timer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Interface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/InvisibleResholder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponent.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponentEnableChain.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaInput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaOutput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterfaceOutputEnables.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteIrq.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000001_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000012_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000020_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructure_64.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002e_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000032_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000041_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000042_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000004b_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000058_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000059_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005a_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005c_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000082_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008e_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008f_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000091_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000092_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000ac_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000bc_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000de_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000df_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e1_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e2_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e9_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000fb_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000010d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_Speichern4_dash_2FPGA.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbDelayer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbPowerOf2.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbRW.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbSerializeAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOpNot.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaClockManagerControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaCtrlIndRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam_Inferred.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoClearControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoCountControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPopBuffer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPortReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFlipFlopFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaHostAccessibleRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderWrite.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLoopTimer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMergeErrors.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPipelinedOrGateTreeSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPulseSyncBaseWrapper.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegFrameworkShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCoreBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleModuloCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaStockDcm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFixedToFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompareCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivideCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiplyCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixed.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixedCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandlerSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiCycleEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFixedPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFloatingPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToInteger.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Divide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Equal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Greater.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32GreaterOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Less.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32LessOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Multiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32NotEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommIntConfiguration.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommunicationInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9211Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9223Shared.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9403IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpArithmetic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaArbiter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaCoresFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifoGenericValue.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaIrqRegisters.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaViControlRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiLvPrims.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess32.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ResetSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SafeBusCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Sleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrInd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrIndOpt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SyncFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TheWindow.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TimeoutManager.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TopEnablePassThru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViSignature.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_132.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_173.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_185.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_189.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_202.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_136.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_175.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_187.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_232.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/bushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CommSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205ControlSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GenScanLine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SetTriggers.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SettingRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_SyncRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_io.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalReciprocal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMemory.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalParallelCrc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStock.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModuleId.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/forloop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_r_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_w_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMaxArray_vi_colon_Clone0.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMinArray_vi.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/whileloop.vhd" into library work INFO:ProjectMgmt - Parsing design hierarchy completed successfully. WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Adapter16.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_246.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_27.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataRd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataWr.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio80MhzClkRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9205ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9208IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213FxpScaleData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Initializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SampleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResourceLogic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ClockCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ErrorDecode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223HseioReadHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoNodeResHolder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleSerializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleValidDelay.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403CommHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DiIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DioHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DoIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403LdHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SpiWord.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeDetection.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeIdentification.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCrcCheck.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiEeprom.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInitSequence.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInputFlops.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelectorControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiOutputMuxes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPicoBeatleInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPinConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPulseMask.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioClockCondition.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioParallelCrcCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioSourceSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForAnsteuerung_beenden_ctl_0RHFpgaRe adPortOnResbushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForMiteIoLikePortOnResInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTmax_ctl_5RHFpgaReadPortOnResbush old.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTopEnablesPortOnResTopEnablePassT hru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbFordinPortOnResSleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolVec.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSlvResetVal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopUnsigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DiagramReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaDisabler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteReadRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteWriteRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncAsyncInBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBoolAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSlAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainSM.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainWithTimeout.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FeedbackNonSctlCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoReadAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoWriteAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FloatingFeedbackGInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpAddSub.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivPreproc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivRnd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivSigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDynamicShift.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpNormalize.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpShiftCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/GenDataValid.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBaseResetCross.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/IDSel_Timer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Interface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/InvisibleResholder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponent.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponentEnableChain.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaInput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaOutput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterfaceOutputEnables.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteIrq.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000001_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000012_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000020_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructure_64.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002e_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000032_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000041_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000042_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000004b_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000058_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000059_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005a_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005c_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000082_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008e_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008f_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000091_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000092_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000ac_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000bc_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000de_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000df_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e1_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e2_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e9_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000fb_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000010d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_Speichern4_dash_2FPGA.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbDelayer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbPowerOf2.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbRW.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbSerializeAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOpNot.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaClockManagerControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaCtrlIndRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam_Inferred.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoClearControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoCountControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPopBuffer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPortReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFlipFlopFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaHostAccessibleRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderWrite.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLoopTimer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMergeErrors.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPipelinedOrGateTreeSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPulseSyncBaseWrapper.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegFrameworkShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCoreBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleModuloCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaStockDcm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFixedToFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompareCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivideCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiplyCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixed.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixedCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandlerSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiCycleEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFixedPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFloatingPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToInteger.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Divide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Equal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Greater.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32GreaterOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Less.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32LessOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Multiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32NotEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommIntConfiguration.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommunicationInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9211Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9223Shared.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9403IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpArithmetic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaArbiter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaCoresFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifoGenericValue.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaIrqRegisters.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaViControlRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiLvPrims.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess32.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ResetSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SafeBusCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Sleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrInd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrIndOpt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SyncFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TheWindow.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TimeoutManager.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TopEnablePassThru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViSignature.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_132.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_173.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_185.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_189.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_202.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_136.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_175.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_187.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_232.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/bushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CommSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205ControlSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GenScanLine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SetTriggers.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SettingRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_SyncRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_io.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalReciprocal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMemory.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalParallelCrc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStock.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModuleId.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/forloop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_r_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_w_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMaxArray_vi_colon_Clone0.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMinArray_vi.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/whileloop.vhd" into library work INFO:ProjectMgmt - Parsing design hierarchy completed successfully. WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl Started : "Translate". Running ngdbuild... Command Line: ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -u -uc toplevel_gen.ucf -p xc5vlx30-ff676-1 toplevel_gen.ngc toplevel_gen.ngd Command Line: C:\NIFPGA\programs\Xilinx13_4\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle ise -dd _ngo -aul -nt timestamp -u -uc toplevel_gen.ucf -p xc5vlx30-ff676-1 toplevel_gen.ngc toplevel_gen.ngd Reading NGO file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.ngc" ... Loading design module "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc/NiLvXipFloat32Divide.ngc"... Loading design module "C:\NIFPGA\jobs\G2K2D0O_KSw9nkc/NiLvXipFloat32Multiply.ngc"... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file "toplevel_gen.ucf" ... Resolving constraint associations... Checking Constraint Associations... WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoAddr<*>'. WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoDmaAck_n<*>'. WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoRd_n'. WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoWt_n'. WARNING:ConstraintSystem:56 - Constraint " "mIoRd_n" "mIoWt_n" "mIoRamSel_n";> [toplevel_gen.ucf(712)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoRamSel_n'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(713)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoData<*>'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(713)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'AsyncFromAddrDackIorIowRamsel'. WARNING:ConstraintSystem:56 - Constraint " "mIoDtack_n";> [toplevel_gen.ucf(714)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'aIoInt*'. WARNING:ConstraintSystem:56 - Constraint " "mIoDtack_n";> [toplevel_gen.ucf(714)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoDmaReq<*>'. WARNING:ConstraintSystem:56 - Constraint " "mIoDtack_n";> [toplevel_gen.ucf(714)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoDtack_n'. WARNING:ConstraintSystem:56 - Constraint ";> [toplevel_gen.ucf(715)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'AsyncToIrqDrqDtk'. WARNING:ConstraintSystem:56 - Constraint ";> [toplevel_gen.ucf(715)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoReady'. WARNING:ConstraintSystem:56 - Constraint ";> [toplevel_gen.ucf(715)]: Unable to find an active 'TNM' or 'TimeGrp' constraint named 'mIoData<*>'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(772)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_iHoldSigIn_Crio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(772)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_oHoldSigIn_msCrio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(773)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_oSigReturn_Crio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(773)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_iSigOut_msCrio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(774)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_oLocalSigOutCE_Crio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(774)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_iSigOut_msCrio9220Resource4/*/PulseSyncIdSelTimerTickx'. WARNING:ConstraintSystem:56 - Constraint [toplevel_gen.ucf(794)]: Unable to find an active 'TimeGrp' or 'TNM' or 'TPSync' constraint named 'TNM_oSigReturn_n_bushold/*ShiftRegister/SyncBusReset'. Done... WARNING:NgdBuild:1212 - User specified non-default attribute value (25.0) was detected for the CLKIN_PERIOD attribute on DCM "window/NiFpgaStockDcmInst0/DCMx". This does not match the PERIOD constraint value (24.99 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. Checking expanded design ... WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkFifo.NiFpgaFifox/NiFpgaFifoFlagsx/GenDataValidx/DFlopBoolVecx/DFlopSLVx/Ge nFlops[2].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.ODataFlop/GenFlops[0].DFlopx /FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.ODataFlop/GenFlops[1].DFlopx /FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Input.FifoClearController/NiFpgaFifoPortR esetx/Crossing.PopToPush/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[0].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Input.FifoClearController/NiFpgaFifoPortR esetx/Crossing.PushToPop/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.ODataFlop/GenFlops[1].DFlopx /FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkOverflow.HandshakeBoolx/HandshakeBasex/BlkOut.ODataFlop/GenFlops[0].DFlopx /FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo. BlkFifo.NiFpgaFifox/NiFpgaFifoFlagsx/GenDataValidx/DFlopBoolVecx/DFlopSLVx/Ge nFlops[2].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Input.FifoClearController/NiFpgaFifoPortR esetx/Crossing.PushToPop/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Input.FifoClearController/NiFpgaFifoPortR esetx/Crossing.PopToPush/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaCha nnel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFi fo.BlkFifo.Fifox/NiFpgaFifoFlagsx/GenDataValidx/DFlopBoolVecx/DFlopSLVx/GenFl ops[4].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Output.FifoClearController/NiFpgaFifoPort Resetx/Crossing.PopToPush/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaCha nnel.MiteDmaComponentx/EnableChains/Output.FifoClearController/NiFpgaFifoPort Resetx/Crossing.PushToPop/PulseSyncBasex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oPushToggle0_msx/DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.SyncOReset/c2ResetFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.SyncIReset/c2ResetFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[0].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[1].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[2].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[3].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[4].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[5].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[6].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[7].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[8].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[9].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[10].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[11].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[12].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[13].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[14].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[15].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[16].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[17].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[18].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[19].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[20].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[21].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[22].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[23].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[24].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[25].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[26].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[27].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[28].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[29].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[30].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.oDataFlopx/GenFlops[31].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqNum/BlkOut.SyncOReset/c2ResetFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.SyncOReset/c2Re setFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkOut.SyncOReset/c2 ResetFe_msx/DFlopFallingEdgex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_bushold/MiteClkShifter.ShiftRegister/SyncBusReset/PulseSyncBa sex/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/n_bushold/Clk40Shifter.ShiftRegister/SyncBusReset/PulseSyncBase x/oLocalSigOutx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCro ssing.BusClkToReliableClkHS/BlkOut.SyncOReset/c2ResetFe_msx/DFlopFallingEdgex /FDCPE_1x' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomain Crossing.BusClkToReliableClkHS/BlkOut.oDataFlopx/GenFlops[0].DFlopx/FDCPEx' has unconnected output pin WARNING:NgdBuild:440 - FF primitive 'window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomain Crossing.BusClkToReliableClkHS/BlkOut.SyncOReset/c2ResetFe_msx/DFlopFallingEd gex/FDCPE_1x' has unconnected output pin WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/n_Interface/MiteInterfacex/IrqComponents[0].MiteIrqx/HandShakeI rqAck/BlkOut.SyncOReset/c1NxResetFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/n_bushold/Clk40Crossing.Clk40ToInterface/BlkOut.SyncOReset/c1Re setFastLcl" is on the wrong type of object. Please see the Constraints Guide INFO:TclTasksC:1850 - process run : Translate is done. for more information on this attribute. WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/n_bushold/Clk40Crossing.Clk40FromInterface/BlkOut.SyncOReset/c1 ResetFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/ViControlx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomainCro ssing.BusClkToReliableClkHS/BlkOut.SyncOReset/c1ResetFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:483 - Attribute "INIT" on "window/theVI/DiagramResetx/HostWtAccessBlk.BusClkToReliableClkHS/ClockDomain Crossing.BusClkToReliableClkHS/BlkOut.SyncOReset/c1ResetFastLcl" is on the wrong type of object. Please see the Constraints Guide for more information on this attribute. WARNING:NgdBuild:470 - bidirect pad net 'temp_miso' has no legal driver Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 83 Writing NGD file "toplevel_gen.ngd" ... Total REAL time to NGDBUILD completion: 1 min 16 sec Total CPU time to NGDBUILD completion: 1 min 10 sec Writing NGDBUILD log file "toplevel_gen.bld"... NGDBUILD done. Process "Translate" completed successfully INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Adapter16.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_246.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ArrayIndexNode_27.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataRd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CpuDataWr.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio80MhzClkRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9205ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9208IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9211SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213FxpScaleData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9213UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Initializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SampleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9220SyncResourceLogic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ClockCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223ErrorDecode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223HseioReadHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223IoNodeResHolder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleSerializer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9223SampleValidDelay.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9264SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403CommHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DiIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DioHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403DoIoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403LdHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403ResourceCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SpiWord.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Crio9403SyncResource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeDetection.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCartridgeIdentification.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiCrcCheck.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiEeprom.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInitSequence.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiInputFlops.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiModeSelectorControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiOutputMuxes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPicoBeatleInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPinConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiPulseMask.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiConfig.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCiSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioClockCondition.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioParallelCrcCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CrioSourceSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForAnsteuerung_beenden_ctl_0RHFpgaRe adPortOnResbushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForMiteIoLikePortOnResInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTmax_ctl_5RHFpgaReadPortOnResbush old.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbForTopEnablesPortOnResTopEnablePassT hru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/CustomArbFordinPortOnResSleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopBoolVec.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopFallingEdge.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopSlvResetVal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DFlopUnsigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DiagramReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaDisabler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteReadRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DmaMiteWriteRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncAsyncInBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncBoolAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/DoubleSyncSlAsyncIn.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainSM.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/EnableChainWithTimeout.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FeedbackNonSctlCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoReadAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FifoWriteAdapter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FloatingFeedbackGInit.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpAddSub.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivPreproc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivRnd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDivSigned.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpDynamicShift.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpNormalize.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/FxpShiftCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/GenDataValid.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBaseResetCross.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/HandshakeSLV.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/IDSel_Timer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Interface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/InvisibleResholder.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponent.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaComponentEnableChain.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaInput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteDmaOutput.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteInterfaceOutputEnables.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteIrq.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/MiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000000_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000001_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000012_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000013_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000020_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000021_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0000.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructureFrame_0001.vhd " into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002d_CaseStructure_64.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000002e_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000032_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000041_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000042_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000004b_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000058_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000059_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005a_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005c_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000005d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000082_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008e_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000008f_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000091_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_00000092_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000ac_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000b8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000bc_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c0_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c4_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000c8_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000de_WhileLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000df_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e1_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e2_ForLoop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000e9_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_000000fb_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_0000010d_SequenceFrame.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaAG_Speichern4_dash_2FPGA.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbDelayer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbPowerOf2.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbRW.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaArbSerializeAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaBoolOpNot.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaClockManagerControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaCtrlIndRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaDualPortRam_Inferred.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoClearControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoCountControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPopBuffer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFifoPortReset.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaFlipFlopFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaHostAccessibleRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLocalResHolderWrite.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaLoopTimer.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMergeErrors.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteReadInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaMiteWriteInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPipelinedOrGateTreeSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaPulseSyncBaseWrapper.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegFrameworkShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaRegisterCoreBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaShiftReg.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaSimpleModuloCounter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiFpgaStockDcm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFixedToFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatCompareCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatDivideCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatMultiplyCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixed.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFloatToFixedCore.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCoerce.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpCompare.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpEnableHandlerSlv.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiCycleEnableHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvFxpMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFixedPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToFloatingPoint.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvToInteger.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Divide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Equal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Greater.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32GreaterOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Less.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32LessOrEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32Multiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/NiLvXipFloat32NotEqual.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommIntConfiguration.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCommunicationInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9211Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9213Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9220Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9223Shared.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrio9403IoNode.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCiHsiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioCommInt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgCrioInterface.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFloat.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpArithmetic.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgFxpDivide.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgGray.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaArbiter.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaBoolOp.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaCoresFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifo.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaFifoGenericValue.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaIrqRegisters.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiFpgaViControlRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiLvPrims.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgNiUtilities.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgRegister.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9205Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9211.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PkgcRio9264Cal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBase.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncBool.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/PulseSyncSL.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/RegisterAccess32.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ResetSync.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SafeBusCrossing.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/Sleep.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrInd.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SubVICtlOrIndOpt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/SyncFifoFlags.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TheWindow.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TimeoutManager.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/TopEnablePassThru.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViControl.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/ViSignature.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_132.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_173.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_185.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_189.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arrayLpIndx_202.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_136.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_175.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_187.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/arraycollect_232.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/bushold.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205CommSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205ControlSm.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GenScanLine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SetTriggers.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205SettingRegs.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_Resource.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_SyncRes.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9205_io.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalData.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264CalReciprocal.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264EnableChainHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264GetCalConst.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264IoHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRio9264UpdateHandler.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMemory.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalMultiply.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioCalParallelCrc.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStock.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockEepromRead.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModeSelector.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockModuleId.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/cRioStockSpiEngine.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/forloop.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_r_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/resholder_w_opt.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMaxArray_vi_colon_Clone0.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/subMinArray_vi.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/toplevel_gen.vhd" into library work INFO:HDLCompiler:1061 - Parsing VHDL file "C:/NIFPGA/jobs/G2K2D0O_KSw9nkc/whileloop.vhd" into library work INFO:ProjectMgmt - Parsing design hierarchy completed successfully. WARNING:ProjectMgmt - Circular Reference: work:Architecture|NiFpgaPipelinedOrGateTreeSlv|rtl Started : "Map". Running map... Command Line: map -intstyle ise -p xc5vlx30-ff676-1 -w -logic_opt off -ol high -xe n -t 1 -register_duplication off -global_opt off -mt off -cm area -ir off -pr off -lc off -power off -o toplevel_gen_map.ncd toplevel_gen.ngd toplevel_gen.pcf Using target part "5vlx30ff676-1". vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv INFO:Security:50 - The XILINXD_LICENSE_FILE environment variable is set to 'C:\NIFPGA\programs\Xilinx13_4\ISE\data\lvfpgalicense.lic'. INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to 'C:\NIFPGA\programs\Xilinx13_4\ISE\data\lvfpgalicense.lic'. INFO:Security:54 - 'xc5vlx30' is a WebPack part. WARNING:Security:43 - No license file was found in the standard Xilinx license directory. WARNING:Security:44 - Since no license file was found, please run the Xilinx License Configuration Manager (xlcm or "Manage Xilinx Licenses") to assist in obtaining a license. WARNING:Security:42 - Your software subscription period has lapsed. Your current version of Xilinx tools will continue to function, but you no longer qualify for Xilinx software updates or new releases. ---------------------------------------------------------------------- Mapping design into LUTs... WARNING:MapLib:701 - Signal dio12 connected to top level port dio12 has been removed. WARNING:MapLib:701 - Signal dio16 connected to top level port dio16 has been removed. WARNING:MapLib:701 - Signal dio22 connected to top level port dio22 has been removed. WARNING:MapLib:701 - Signal dio26 connected to top level port dio26 has been removed. WARNING:MapLib:701 - Signal dio29 connected to top level port dio29 has been removed. WARNING:MapLib:701 - Signal dio36 connected to top level port dio36 has been removed. WARNING:MapLib:701 - Signal dio39 connected to top level port dio39 has been removed. WARNING:MapLib:701 - Signal dio42 connected to top level port dio42 has been removed. WARNING:MapLib:701 - Signal dio49 connected to top level port dio49 has been removed. WARNING:MapLib:701 - Signal dio52 connected to top level port dio52 has been removed. WARNING:MapLib:701 - Signal dio56 connected to top level port dio56 has been removed. WARNING:MapLib:701 - Signal dio62 connected to top level port dio62 has been removed. WARNING:MapLib:701 - Signal dio66 connected to top level port dio66 has been removed. WARNING:MapLib:701 - Signal dio72 connected to top level port dio72 has been removed. WARNING:MapLib:701 - Signal dio76 connected to top level port dio76 has been removed. WARNING:MapLib:40 - The offset specification "OFFSET=IN 10000 pS VALID 20000 pS BEFORE Clk40" on net "dio42" has been discarded, because the net was optimized out of the design. WARNING:MapLib:40 - The offset specification "OFFSET=IN 10000 pS VALID 20000 pS BEFORE Clk40" on net "dio49" has been discarded, because the net was optimized out of the design. WARNING:MapLib:40 - The offset specification "OFFSET=IN 3000 pS VALID 7000 pS BEFORE Clk40" on net "dio56" has been discarded, because the net was optimized out of the design. WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM1 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM1_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM1 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM1_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM1 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM1_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM1 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM1_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM10 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM10_REGCLKAU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM10 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM10_REGCLKAL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM10 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM10_REGCLKBU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM10 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM10_REGCLKBL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM11 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM11_REGCLKAU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM11 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM11_REGCLKAL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM11 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM11_REGCLKBU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM11 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM11_REGCLKBL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM12 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM12_REGCLKAU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM12 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM12_REGCLKAL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM12 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM12_REGCLKBU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM12 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM12_REGCLKBL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM13 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM13_REGCLKAU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM13 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM13_REGCLKAL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM13 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM13_REGCLKBU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM13 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM13_REGCLKBL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM14 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM14_REGCLKAU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM14 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM14_REGCLKAL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM14 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM14_REGCLKBU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM14 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM14_REGCLKBL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM15 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM15_REGCLKAU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM15 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM15_REGCLKAL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM15 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM15_REGCLKBU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM15 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM15_REGCLKBL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM16 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM16_REGCLKAU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM16 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM16_REGCLKAL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM16 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM16_REGCLKBU_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM16 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM16_REGCLKBL_tiesi g WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM2 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM2_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM2 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM2_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM2 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM2_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM2 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM2_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM3 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM3_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM3 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM3_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM3 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM3_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM3 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM3_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM4 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM4_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM4 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM4_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM4 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM4_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM4 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM4_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM5 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM5_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM5 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM5_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM5 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM5_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM5 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM5_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM6 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM6_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM6 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM6_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM6 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM6_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM6 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM6_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM7 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM7_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM7 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM7_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM7 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM7_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM7 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM7_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM8 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM8_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM8 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM8_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM8 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM8_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM8 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM8_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM9 of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM9_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM9 of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM9_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM9 of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM9_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM9 of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[1].GenNiFpgaChan nel.MiteDmaComponentx/GenInput.DmaInputx/NiFpgaMiteReadInterfacex/BlkAiFifo.B lkFifo.NiFpgaFifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM9_REGCLKBL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChan nel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFif o.BlkFifo.Fifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM of frag REGCLKAU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChan nel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFif o.BlkFifo.Fifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM_REGCLKAU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChan nel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFif o.BlkFifo.Fifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM of frag REGCLKAL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChan nel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFif o.BlkFifo.Fifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM_REGCLKAL_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChan nel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFif o.BlkFifo.Fifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM of frag REGCLKBU connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChan nel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFif o.BlkFifo.Fifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM_REGCLKBU_tiesig WARNING:Pack:2874 - Trimming timing constraints from pin window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChan nel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFif o.BlkFifo.Fifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM of frag REGCLKBL connected to power/ground net window/theVI/n_Interface/MiteInterfacex/DmaBlk.DmaComponents[2].GenNiFpgaChan nel.MiteDmaComponentx/GenOutput.DmaOutputx/NiFpgaMiteWriteInterfacex/BlkAoFif o.BlkFifo.Fifox/NiFpgaDualPortRamx/InferredRamx/Mram_iRAM_REGCLKBL_tiesig Running directed packing... WARNING:Pack:2549 - The register "window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205Cont rolSmx/cIdOutputsEn" has the property IOB=TRUE, but was not packed into the OLOGIC component. The register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205Contr olSmx/cIdOutputsEn can not use TFF because the clock signal does not agree with register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205CommS mx/cSpiCs_n. WARNING:Pack:2549 - The register "window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/Mod eSelector/cEeOutputsEn" has the property IOB=TRUE, but was not packed into the OLOGIC component. The register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/Mode Selector/cEeOutputsEn can not use TFF because the clock signal does not agree with register window/theVI/Crio9264Resource6/Crio9264ResourceCorex/cRio9264x/cRioStock/SpiE ngine/cCs_n. WARNING:Pack:2549 - The register "window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205Cont rolSmx/cConvEn" has the property IOB=TRUE, but was not packed into the OLOGIC component. The register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205Contr olSmx/cConvEn can not use TFF because the clock signal does not agree with register window/theVI/cRio9205_Resource5/Crio9205ResourceCorex/cRio9205x/cRio9205CommS mx/cConv_n. WARNING:Pack:2515 - The LUT-1 inverter "window/dio05_dout_inv1_INV_0" failed to join the OLOGIC comp matched to output buffer "dio05_OBUFT". This may result in suboptimal timing. The LUT-1 inverter window/dio05_dout_inv1_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_10_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_11_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_12_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_20_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_13_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_21_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_14_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_22_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_30_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_15_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_23_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_31_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_16_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_24_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_17_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_25_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_18_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_26_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_19_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_27_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_28_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_29_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_0_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_1_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_2_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_3_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_4_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_5_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_6_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_7_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_8_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. WARNING:Pack:2515 - The LUT-1 inverter "mIoDataOE_inv321_INV_0" failed to join the OLOGIC comp matched to output buffer "mIoData_9_IOBUF/OBUFT". This may result in suboptimal timing. The LUT-1 inverter mIoDataOE_inv321_INV_0 drives multiple loads. Running delay-based LUT packing... Updating timing models... WARNING:Timing:3175 - Clk40 does not clock data from dio46 WARNING:Timing:3225 - Timing constraint COMP "dio46" OFFSET = IN 3 ns VALID 7 ns BEFORE COMP "Clk40" ignored during timing analysis WARNING:Timing:3175 - MiteClk does not clock data to mIoDmaReq<3> WARNING:Timing:3225 - Timing constraint COMP "mIoDmaReq<3>" OFFSET = OUT 15.46 ns AFTER COMP "MiteClk" ignored during timing analysis WARNING:Timing:3175 - MiteClk does not clock data from mIoAddr<1> WARNING:Timing:3225 - Timing constraint COMP "mIoAddr<1>" OFFSET = IN 12 ns INFO:TclTasksC:1850 - process run : Map is done. VALID 12 ns BEFORE COMP "MiteClk" ignored during timing analysis WARNING:Timing:3175 - MiteClk does not clock data from mIoAddr<0> WARNING:Timing:3225 - Timing constraint COMP "mIoAddr<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "MiteClk" ignored during timing analysis INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report (.mrp). Running timing-driven placement... Total REAL time at the beginning of Placer: 1 mins 44 secs Total CPU time at the beginning of Placer: 1 mins 22 secs Phase 1.1 Initial Placement Analysis Phase 1.1 Initial Placement Analysis (Checksum:881a0b7b) REAL time: 1 mins 48 secs Phase 2.7 Design Feasibility Check Phase 2.7 Design Feasibility Check (Checksum:881a0b7b) REAL time: 1 mins 48 secs Phase 3.31 Local Placement Optimization Phase 3.31 Local Placement Optimization (Checksum:881a0b7b) REAL time: 1 mins 48 secs Phase 4.37 Local Placement Optimization Phase 4.37 Local Placement Optimization (Checksum:881a0b7b) REAL time: 1 mins 48 secs Phase 5.33 Local Placement Optimization Phase 5.33 Local Placement Optimization (Checksum:881a0b7b) REAL time: 2 mins 13 secs Phase 6.32 Local Placement Optimization Phase 6.32 Local Placement Optimization (Checksum:881a0b7b) REAL time: 2 mins 14 secs Phase 7.2 Initial Clock and IO Placement Phase 7.2 Initial Clock and IO Placement (Checksum:6212f182) REAL time: 2 mins 19 secs Phase 8.36 Local Placement Optimization Phase 8.36 Local Placement Optimization (Checksum:6212f182) REAL time: 2 mins 19 secs Phase 9.30 Global Clock Region Assignment Phase 9.30 Global Clock Region Assignment (Checksum:6212f182) REAL time: 2 mins 19 secs Phase 10.3 Local Placement Optimization Phase 10.3 Local Placement Optimization (Checksum:6212f182) REAL time: 2 mins 19 secs Phase 11.5 Local Placement Optimization Phase 11.5 Local Placement Optimization (Checksum:6212f182) REAL time: 2 mins 20 secs Phase 12.8 Global Placement ........................ ........................................................................................................ ......................................................................... Fitter failed. Exiting placer. Phase 12.8 Global Placement (Checksum:2e0b991e) REAL time: 3 mins 58 secs Total REAL time to Placer completion: 3 mins 58 secs Total CPU time to Placer completion: 3 mins 36 secs ERROR:Pack:1654 - The timing-driven placement phase encountered an error. Mapping completed. See MAP report file "toplevel_gen_map.mrp" for details. Problem encountered during the packing phase. Design Summary -------------- Number of errors : 1 Number of warnings : 130 Process "Map" failed