INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

Min/Max-Wert eines Signals speichern



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

09.11.2009, 16:55
Beitrag #1

dimitri84 Offline
Astronaut
*****


Beiträge: 1.496
Registriert seit: Aug 2009

2020 Developer Suite
2009
DE_EN

53562
Deutschland
Min/Max-Wert eines Signals speichern
Hallo zusammen,

Die Aufgabe ist ganz simpel: Ich möchte während meiner Messung ständig überprüfen, ob der Minimalwert noch kleiner oder der Maximalwert noch größer geworden ist, sodass ich am Ende der Messung den Max/Min-Wert des gesamten Verlaufs als Eigenschaft mit abspeichern kann. Also für 4 Kanäle jeweils 4 Max- und 4 Min-Werte. Der Zweck dahinter ist es mit Hilfe dieser Werte beim Abspielen des Signals eine Normierung durchzuführen.

Warum aber spucken meine Min/Max VI's (die beiden oberen) nur Nullen aus? Das untere Min/Max VI schickt plausible Werte raus.

So klappst nicht:
   

Und so auch nicht:
   

Bsp. VILv85_img
Sonstige .vi  BSP.vi (Größe: 16,06 KB / Downloads: 279)



Sonstige .txt  EMG_daten2.tdms.txt (Größe: 1,41 MB / Downloads: 255)




Gruß dimitri

„Sag nicht alles, was du weißt, aber wisse immer, was du sagst.“ (Matthias Claudius)
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
09.11.2009, 17:12
Beitrag #2

SeBa Offline
LVF-Guru
*****


Beiträge: 2.025
Registriert seit: Oct 2008

09SP1 & 10 FDS
2008
DE

65xxx
Deutschland
Min/Max-Wert eines Signals speichern
Wenns bei den untern klappt... evtl. liegt es daran, dass die Konstante initialisiert ist (sprich mit dem Wert 100 vorbelegt)...

mach das doch auch für die obere Version...


Ich würde ja die Max.Werte mit -Inf. und die Min.Werte mit +Inf. initialisieren. Der erste Wert der kommt ist bestimmt größer als -Inf. (für den Max.Wert) und kleiner als +Inf. (für den Min.Wert).

Gruß SeBa

Dieser Beitrag soll weder nützlich, informativ noch lesbar sein.

Er erhebt lediglich den Anspruch dort wo er ungenau ist, wenigstens eindeutig ungenau zu sein.
In Fällen größerer Abweichungen ist es immer der Leser, der sich geirrt hat.

Rette einen Baum!
Diesen Beitrag nur ausdrucken, wenn unbedingt nötig!
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
09.11.2009, 18:45
Beitrag #3

dimitri84 Offline
Astronaut
*****


Beiträge: 1.496
Registriert seit: Aug 2009

2020 Developer Suite
2009
DE_EN

53562
Deutschland
Min/Max-Wert eines Signals speichern
:wall:Ja klar. Deswegen haut's schonmal mit dem Max-Wert nicht hin (da ohne angeschlossenes EMG-Gerät nur negative Fantasie-Werte ankommen). Aber unter 100 sind praktisch alle Werte ... da hätte es theoretisch klappen müssen.

Werd's morgen so initialisieren wie vorgeschlagen. Danke.

„Sag nicht alles, was du weißt, aber wisse immer, was du sagst.“ (Matthias Claudius)
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
09.11.2009, 19:04
Beitrag #4

Y-P Offline
☻ᴥᴥᴥ☻ᴥᴥᴥ☻
LVF-Team

Beiträge: 12.612
Registriert seit: Feb 2006

Developer Suite Core -> LabVIEW 2015 Prof.
2006
EN

71083
Deutschland
Min/Max-Wert eines Signals speichern
Ansonsten vielleicht so? Unsure


Sonstige .vi  BSP.vi (Größe: 13,84 KB / Downloads: 376)

Lv85_img

Gruß Markus

--------------------------------------------------------------------------
Bitte stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort !!
--------------------------------------------------------------------------
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
09.11.2009, 22:17
Beitrag #5

jg Offline
CLA & CLED
LVF-Team

Beiträge: 15.864
Registriert seit: Jun 2005

20xx / 8.x
1999
EN

Franken...
Deutschland
Min/Max-Wert eines Signals speichern
   

Gruß, Jens

Wer die erhabene Weisheit der Mathematik tadelt, nährt sich von Verwirrung. (Leonardo da Vinci)

!! BITTE !! stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort!

Einführende Links zu LabVIEW, s. GerdWs Signatur.
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
09.11.2009, 23:06 (Dieser Beitrag wurde zuletzt bearbeitet: 09.11.2009 23:10 von dimitri84.)
Beitrag #6

dimitri84 Offline
Astronaut
*****


Beiträge: 1.496
Registriert seit: Aug 2009

2020 Developer Suite
2009
DE_EN

53562
Deutschland
Min/Max-Wert eines Signals speichern
@Markus _ So bekomme ich doch pro Iteration jeweils neue Max/Min-Werte (unabhängig von den vorherigen), wenn ich mich nicht täusche. Ich brauche aber Min/Max von einer gesamten langen Messung. Das Signal kommt dabei zu 512 Samples Blöcken ausm DAQ.

@Jens _ Das bedeutet es also wenn die Elemente so transparent sind!:ideeOh man ...


Vielen Dank.

„Sag nicht alles, was du weißt, aber wisse immer, was du sagst.“ (Matthias Claudius)
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
10.11.2009, 10:21
Beitrag #7

dimitri84 Offline
Astronaut
*****


Beiträge: 1.496
Registriert seit: Aug 2009

2020 Developer Suite
2009
DE_EN

53562
Deutschland
Min/Max-Wert eines Signals speichern
Irgendwas mit den Schieberegistern habe ich wohl noch nicht verstanden. Direkt nach der Initialisierung habe ich wie gewollt ein array gefüllt mit +inf/-inf. Unmittelbar am Ausgang des Schieberegisters ist nix mehr in meinem array drin. Wieso?

   

Folglich funktioniert auch der Rest nicht wegen Auto-Indexing wie Jens das schon erklärt hat.

„Sag nicht alles, was du weißt, aber wisse immer, was du sagst.“ (Matthias Claudius)
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
10.11.2009, 13:28
Beitrag #8

jg Offline
CLA & CLED
LVF-Team

Beiträge: 15.864
Registriert seit: Jun 2005

20xx / 8.x
1999
EN

Franken...
Deutschland
Min/Max-Wert eines Signals speichern
Durchläufst du irgendwann mal die FALSE-Cases?

Du hast deine Arrays nicht durchverbunden in den FALSE-Cases, am Ende der Case-Struktur den Array-Ausgang auf "Use Default when unwired" gestellt. Der Default-Fall für ein Array ist ein leeres Array.

Q.E.D.

Gruß, Jens

Wer die erhabene Weisheit der Mathematik tadelt, nährt sich von Verwirrung. (Leonardo da Vinci)

!! BITTE !! stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort!

Einführende Links zu LabVIEW, s. GerdWs Signatur.
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
10.11.2009, 13:52
Beitrag #9

dimitri84 Offline
Astronaut
*****


Beiträge: 1.496
Registriert seit: Aug 2009

2020 Developer Suite
2009
DE_EN

53562
Deutschland
Min/Max-Wert eines Signals speichern
Und das ohne die False-Cases je gesehen zu haben! WahnsinnsGlas1

„Sag nicht alles, was du weißt, aber wisse immer, was du sagst.“ (Matthias Claudius)
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
10.11.2009, 13:55
Beitrag #10

jg Offline
CLA & CLED
LVF-Team

Beiträge: 15.864
Registriert seit: Jun 2005

20xx / 8.x
1999
EN

Franken...
Deutschland
Min/Max-Wert eines Signals speichern
Das hat zur Analyse gelangt:
   

Wenn in jedem Case was angeschlossen ist, sieht das anders aus.

Gruß, Jens

Wer die erhabene Weisheit der Mathematik tadelt, nährt sich von Verwirrung. (Leonardo da Vinci)

!! BITTE !! stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort!

Einführende Links zu LabVIEW, s. GerdWs Signatur.
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
30
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Wert an naheliegensten Wert aus Array anpassen TSC 17 10.025 26.07.2016 06:59
Letzter Beitrag: TSC
  Aktueller Wert von vorherigem Wert abziehen Semicon 6 6.364 18.06.2014 16:34
Letzter Beitrag: jg
  Abfrage der Inkrement/Dekrementbuttons eines Zahlenfeldes, ob Wert geändert wurde? Alpenpower 1 2.942 31.03.2014 15:01
Letzter Beitrag: GerdW
  Startwert eines kontinuierlichen Signals setzen redhand 23 12.894 03.12.2013 09:01
Letzter Beitrag: th13
  Neuer Wert - Alter Wert im Array sanjo 5 6.223 05.02.2013 10:43
Letzter Beitrag: sanjo
  Wert speichern Chess 13 17.475 30.01.2013 08:58
Letzter Beitrag: GerdW

Gehe zu: