INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

Probleme bei Synchronisation von Signal mit Weg



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

18.07.2014, 15:37
Beitrag #1

B.Buerkert Offline
LVF-Grünschnabel
*


Beiträge: 32
Registriert seit: Dec 2013

2013 DeveloperSuite
2013
DE


Deutschland
Probleme bei Synchronisation von Signal mit Weg
Hallo zusammen,
ich hänge seit einigen Tagen an der Umsetzung einer zuverlässigen und vor allem genauen Synchronisierung
vom Positionswert & einem digitalen Signal.

Um das Signal zu erfassen wird die Zeit zwischen den fallenden Flanken mittels SCTL gemessen.
Alle Flankenzeiten werden in einen Target Scoped FIFO geschrieben und in einer anderen Schleife auf dem FPGA mittels DMA FIFO an das RT System übertragen. Der Code für die Erfassung des Encoders läuft in der gleichen SCTL in der auch das Signal erfasst wird.

Das Signal liefert nicht regelmäßig einen Synchronisationspuls (erkennbar durch bestimmte Länge der Flankenzeit).
Zu jedem Synchronisationspuls muss die aktuelle Position, Spannung und Strom mit in den FIFO geschrieben werden.
Spannung und Strom sind Werte die jede 10ms erzeugt werden und nur für eine groben Spannungs/Strom verlauf später benötigt werden. Daher schreibe ich diese mittels lokale Variablen in den FIFO.

Mit meinem Code bekomme ich beim auslesen auf dem RT System die meiste Zeit gute bzw. normale Werte.
Ab und zu schreibt jedoch das FPGA viele Nullen in den FIFO, jedesmal an der gleichen Position in einer Botschaft.
z.B. 100 gute Botschaften dann 1 falsche; 1204 gute Botschaften dann 1 falsche.


Das die Ursache auf der RT Seite liegt schließe ich aus, da die Abholung der Daten wesentlich schneller erfolgt als sie geschrieben werden.

Hier exemplarisch was ab und zu als Fehler auftritt.
Normal:
6701 2393 100000000 5000 24 1556 2512 2512 2153 1675 3111 2273 10289

Fehler:
6702 2872 100000000 5000 24 1556 2512 2512 2632 1674 0 0 0 0 0 0 0 0 0 0 3111 1795 9332

Liegt ein grundlegender Fehler in meinem FPGA Programm bzw. wie kann ich sonst noch die aktuelle Position beim Auftreten des Synchronisationspuls in den FIFO bringen?

Danke für jeden Hinweis Smile


Cross Post:
http://forums.ni.com/t5/LabVIEW/SCTL-amp...se#M846269


Angehängte Datei(en)
13.0 .vi  Unbenannt 2.vi (Größe: 107,99 KB / Downloads: 180)
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
30
Antwort schreiben 


Gehe zu: