INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

ProgrammAblauf



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

20.05.2011, 21:19
Beitrag #1

Andy4u Offline
LVF-Grünschnabel
*


Beiträge: 32
Registriert seit: Mar 2011

2009
-
kA



ProgrammAblauf
Guten Morgen,

Habe wieder mal ein Problem.
Mein VI ist aber nun so riesig das ich´s nicht als ScreenShot senden kann:

ich habe 2 seperate while- Schleifen , diese werden ständig durchlaufen nur die Eine besitzt eine Abbruchbedingung. Wenn diese Erfüllt ist funktioniert deren Funktion nicht mehr.

Wenn die Bedingung nun aber wieder Rückgängig gemacht wird so läuft die Schleife nicht wieder an.
Meine Frage:
Wie bekomm ich das hin das eine Schleife stopt und dann später wieder anläuft wenn ich das möchte??


habs auch schon mit Case-Zeugs versucht , hab ebenfalls schon um das Komplette Vi eine Endlosschleife gelegt , und ich hab sogar eine Weitere Schleife kurz abgebrochen nur in der Hoffnung das es mal Funktioniert....
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
21.05.2011, 10:22
Beitrag #2

Y-P Offline
☻ᴥᴥᴥ☻ᴥᴥᴥ☻
LVF-Team

Beiträge: 12.612
Registriert seit: Feb 2006

Developer Suite Core -> LabVIEW 2015 Prof.
2006
EN

71083
Deutschland
RE: ProgrammAblauf
Meinst Du so?

2009 .vi  Schleifen.vi (Größe: 7,59 KB / Downloads: 470)


Das macht wohl das, wie Du es Dir vorstellst. Ich würde es so aber im Alltag nicht anwenden. Wenn Du sagen würdest, was Du genau vorhast, dann könnte man Dir evtl. auch eine andere Struktur (z.B. State Machine, Producer Consumer Loop,....) vorschlagen.

Gruß Markus

--------------------------------------------------------------------------
Bitte stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort !!
--------------------------------------------------------------------------
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
23.05.2011, 09:28
Beitrag #3

Andy4u Offline
LVF-Grünschnabel
*


Beiträge: 32
Registriert seit: Mar 2011

2009
-
kA



RE: ProgrammAblauf
Danke erstmal werd´s mir mal anschauen.

Ich möchte in einem Vektor der Reihe nach Werte eintragen, dieser soll dann in eine Matrix übergeben werden was aber aufgrund des Programmes noch nicht funktioniert mal schauen vielleicht muss ich auch nur eine Bedingung ändern, schwer zu erklären jedenfalls
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Zugversuch - Oberfläche: Programmablauf? _Markus_ 1 2.180 19.11.2019 13:47
Letzter Beitrag: GerdW
  Längere Wartezeit im Programmablauf quattro888 5 3.655 21.05.2019 14:26
Letzter Beitrag: quattro888
  Programmablauf creo_123 4 4.468 27.05.2013 11:43
Letzter Beitrag: GerdW
  Werte während Programmablauf abfragen/nachtragen Nele08 2 4.656 04.04.2011 12:21
Letzter Beitrag: Nele08
  Enum aus Programmablauf generieren andimtb 3 6.539 07.03.2010 22:45
Letzter Beitrag: schrotti
  Sub-VI's für stabileren Programmablauf?! Striggi 20 11.394 23.11.2009 15:48
Letzter Beitrag: M Nussbaumer

Gehe zu: