INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

kleines problemchen mit events...



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

11.08.2008, 12:31
Beitrag #1

n00b Offline
LVF-Grünschnabel
*


Beiträge: 49
Registriert seit: Jul 2006

8.00, 7.1
2005
en

12**
Oesterreich
kleines problemchen mit events...
Hallo!

hab wieder mal ne frage an euch!
und zwar: ich geb über eine timerkarte eine frequenzrampe aus(funktioniert bereits), jedoch möchte ich während ich die einzelnen werte der rampe berechne andere events im frontpanel detektieren und gegebenfalls einen der 6 ausgänge auf denen ich die rampe ausgebe um ein bisschen erniedrigen. Das erniedrigen wird ebenfalls mit einer boolschen eingabe angezeigt. Für diese eingaben habe ich jeweils ein eigenes event angelegt.
Die rampe berechne ich mit einer Stacked Sequence mit drei feldern. Im ersten befindet sich eine while schleife in der raufgezählt wird, in der zweiten wird eine bestimmte zeit gewartet und in der dritten wird in einer while schleife wieder runtergezählt.

Mein problem ist, dass ich die anderen Events nicht richtig detektieren kann. Ich bemerke sie zwar wenn ich das ganze rampenberechnen in eine eigene while schleife geb, jedoch sobald das event vorbei ist gibt er sofort wieder die normale rampe aus...
Hat jemand eine idee wie ich das machen kann?

mfg
n00b
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
11.08.2008, 12:42
Beitrag #2

Kvasir Offline
LVF-Freak
****


Beiträge: 642
Registriert seit: May 2006

10
2004
DE_EN

0000
Oesterreich
kleines problemchen mit events...
Hallo,

Z.B. so:

- pack deine Rampe in eine extra WhileSchleife
- Ersetze die Stacked Sequence durch eine State Machine
- Übergib die Werte der Rampe mittels Queue / Notifier an eine seperate While Schleife, in der du die Ausgabe der Werte, sowie deine Extraevents einbaust.

Grüße

A few weeks of developement and testing can save a WHOLE afternoon in the library!
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
11.08.2008, 12:58
Beitrag #3

n00b Offline
LVF-Grünschnabel
*


Beiträge: 49
Registriert seit: Jul 2006

8.00, 7.1
2005
en

12**
Oesterreich
kleines problemchen mit events...
' schrieb:Hallo,

Z.B. so:

- pack deine Rampe in eine extra WhileSchleife
- Ersetze die Stacked Sequence durch eine State Machine
- Übergib die Werte der Rampe mittels Queue / Notifier an eine seperate While Schleife, in der du die Ausgabe der Werte, sowie deine Extraevents einbaust.

Grüße

Danke erstmal für den tipp, aber wo find ich den die state machine unter LV 7.1?
Vorher hab ich gelesen das man mit 2 event structures nur probleme hat also wie soll ich denn dann die extraevents dort einbauen?

Gruß
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
11.08.2008, 15:04
Beitrag #4

Kvasir Offline
LVF-Freak
****


Beiträge: 642
Registriert seit: May 2006

10
2004
DE_EN

0000
Oesterreich
kleines problemchen mit events...
State Machine ist keine Funktion von LV, sondern ein Konzept. Such hier im Forum mal nach State Machine. Es wurden dazu bereits zahlreiche Beispiele gepostet.
In deinem Fall sollte diese ohne Eventstruktur auskommen, sondern einfach die 3 Schritte der Rampe abfahren.
Mit diesem Konzept tust du dir leichter Daten aus der RampenSchleife in die andere Schleife zu transportieren (obwohl es prinzipiell auch in der Stacked Sequence geht.

Auf jeden Fall solltest du nur eine Event Struktur verwenden. Aber mehr brauchst du dann ja auch nicht.

- Eine While Schleife mit State Machine für die Rampe
- Eine While Schleife mit Ausgabe und EventStruktur für die Frontpanel Aktivitäten.
- Queue / Notifier zum Datenaustausch.

Aus deiner Beschreibung geht ja leider nicht hervor, wie deine Realisierung der Ausgabe, ... genau aussieht. Daher kann man auch nur grobe, allgemeine Tips geben.

A few weeks of developement and testing can save a WHOLE afternoon in the library!
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
11.08.2008, 15:09
Beitrag #5

n00b Offline
LVF-Grünschnabel
*


Beiträge: 49
Registriert seit: Jul 2006

8.00, 7.1
2005
en

12**
Oesterreich
kleines problemchen mit events...
Danke nochmals für die hilfreichen tipps!
Hab das mit der state machine vorhin nicht ganz gecheckt, habs jetzt aber eh schon gemacht...

gruß
n00b
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
11.08.2008, 15:28
Beitrag #6

Kvasir Offline
LVF-Freak
****


Beiträge: 642
Registriert seit: May 2006

10
2004
DE_EN

0000
Oesterreich
kleines problemchen mit events...
Immer gerne.
Funktioniert es auch schon wie gewünscht?

Grüße

A few weeks of developement and testing can save a WHOLE afternoon in the library!
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
12.08.2008, 09:34
Beitrag #7

n00b Offline
LVF-Grünschnabel
*


Beiträge: 49
Registriert seit: Jul 2006

8.00, 7.1
2005
en

12**
Oesterreich
kleines problemchen mit events...
Ja danke, hab jetzt noch ein bisschen was geändert und jetzt funktionierts...

gruß
n00b
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Frage zur Architektur: Statemachine und Wait for Events tuhpon 6 2.967 18.03.2024 16:14
Letzter Beitrag: tuhpon
  Bedienelemente bündeln zum Auslösen eines Events Marcusius 12 7.666 03.09.2019 17:24
Letzter Beitrag: Marcusius
  Kleines Problem beim Datenloggen hulk 10 7.695 09.05.2017 16:34
Letzter Beitrag: hulk
  Mausrad bei Events/Casestrukturen Schwand 1 3.365 20.09.2016 08:30
Letzter Beitrag: Schwand
  Dynamische Events programmatisch auslösen AMueller 6 6.317 22.04.2016 07:43
Letzter Beitrag: GerdW
  Eventstruktur mit 2 Events Großer_Stein 3 3.767 11.06.2015 10:11
Letzter Beitrag: Großer_Stein

Gehe zu: