INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

mehrere Events schlecht



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

18.12.2012, 21:21
Beitrag #2

GerdW Offline
______________
LVF-Team

Beiträge: 17.430
Registriert seit: May 2009

LV2021
1995
DE_EN

10×××
Deutschland
RE: mehrere Events schlecht
Hallo Hasenfuss,

Tipp: Verwende doch ein Tab-Element.
Damit schlägst du gleich zwei Fliegen mit einer Klappe: Der User muss sich (wie bei deinem Optionsfeld) für einen Signaltyp entscheiden, hier durch Auswahl eines Tabs. Und da der User sich einen Tab auswählt, bekommt er automatisch die dazu passenden Einstellelemente zu sehen...

Zitat:Ist das problematisch, wenn ich mehr und mehr Schleifentunnel daran setzen?
Zusammengehörende Dinge sollte man in (typdefinierten) Clustern bündeln...

Da du schon die Hilfe/Kommentare zu Eventstrukturen gelesen hast, noch folgendes: Terminals der Bedienelemente, deren (ValueChange-)Event ausgewertet wird, sollten im Eventcase liegen. Damit wird bei Schaltern die Latch-Operation (durch das Lesen der Terminals) ausgeführt!

Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
30
Antwort schreiben 


Nachrichten in diesem Thema
mehrere Events schlecht - Hasenfuss - 18.12.2012, 14:49
RE: mehrere Events schlecht - GerdW - 18.12.2012 21:21
RE: mehrere Events schlecht - Hasenfuss - 21.12.2012, 13:23
RE: mehrere Events schlecht - Lucki - 21.12.2012, 13:47
RE: mehrere Events schlecht - Hasenfuss - 21.12.2012, 14:02
RE: mehrere Events schlecht - Lucki - 21.12.2012, 14:57

Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Frage zur Architektur: Statemachine und Wait for Events tuhpon 6 3.325 18.03.2024 16:14
Letzter Beitrag: tuhpon
  Bedienelemente bündeln zum Auslösen eines Events Marcusius 12 8.010 03.09.2019 17:24
Letzter Beitrag: Marcusius
  Mausrad bei Events/Casestrukturen Schwand 1 3.431 20.09.2016 08:30
Letzter Beitrag: Schwand
  Dynamische Events programmatisch auslösen AMueller 6 6.425 22.04.2016 07:43
Letzter Beitrag: GerdW
  Eventstruktur mit 2 Events Großer_Stein 3 3.836 11.06.2015 10:11
Letzter Beitrag: Großer_Stein
  "rentrant" SubVI zum Triggern von Events Oli_N 5 4.003 28.04.2015 12:02
Letzter Beitrag: GerdW

Gehe zu: