INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

FPGA programmierung



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

20.11.2008, 15:13
Beitrag #1

diplo
Unregistered


 







FPGA programmierung
hallo

könnte mir irgendwer ein kurzes und leichtes testprogramm geben und mir grob zu sagen was ich dabei alles einstellen muss
vl eines wo ich nur eine led zum leuchten bringe....
besitze einen spartan 3E, und lab view 8.6

danke im vorhinein
Diese Nachricht in einer Antwort zitieren to top
Anzeige
23.11.2008, 22:56
Beitrag #2

Falk Offline
ja, das bin ich...
***


Beiträge: 343
Registriert seit: Jan 2006

8.0 :: 201x ::202x
2006
DE_EN


Deutschland
FPGA programmierung
Hallo Diplo!

Schau mal auf der Seite von National Instruments. In der Suche FPGA eingeben. Dort solltest du neben zahlreichen Infos rund um die FPGA-Programmierung auch Beispiele für dein Problem v1 finden.

Schöne Grüße
Falk

Currently: zzzZZZZZZZZ
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Sind Referenzen auf FPGA-Variablen in FPGA vi möglich? Felix777 2 7.565 26.10.2015 20:41
Letzter Beitrag: Felix777
  FPGA-Referenz öffnen: FPGA-VI nicht kompiliert LauraP. 9 12.168 17.03.2015 16:58
Letzter Beitrag: LauraP.

Gehe zu: