INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

2 Signale mit einem FIFO übertragen?



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

20.02.2007, 11:35
Beitrag #1

Striefchen Offline
LVF-Gelegenheitsschreiber
**


Beiträge: 131
Registriert seit: Sep 2006

8.00 / 8.2
2006
kA


Deutschland
2 Signale mit einem FIFO übertragen?
Hallo.

Ich habe mich jetzt nach einiger Kritik entschieden nur eine gewisse Anzahl an Perioden meines Signals mit dem gemessenen zu vergleichen. Das sollte dann vielleicht mehr Sinn machen in einer Tabelle darzustellen.

Dafür muss ich aber beide Signale vom FPGA an den HOST übermitteln. Ein Signal konnte ich problemlos mit einem FIFO der Elementtiefe von 32767 weitergeben. Jetzt habe ich mir gedacht da es zwei Signale sind muss ich auch zwei FIFOs anlegen und diesen nur die Hälfte der FIFO-Tiefe des vorigen zuweisen.
...schreiben:[attachment=31679:FIFO_schreiben_1.JPG]
Das wären dann pro FIFO-Baustein 16383 (So wird es mir vorgeschlagen). Von der Theorie her ist alles klar.
...auslesen mit ner State Machine in zwei vershiedenen Schritten:[attachment=31682:FIFO_auslesen_1.JPG]
Nur beim Compilieren bricht mir der Prozess ab und ich bekomme eine Nachricht von "Execution Overflow - 42 RAM Bausteine brauche ich dafür und 40 stehen nur zur Verfügung ". Also ging meine kleine Rechnung mit halbieren nicht auf.
Jetzt ist die nächst kleinere Tiefe die mir angeboten wird genau nochmal die Hälfte von den 16383, nämlich 8191.

Nur geanau da ist der Haken. Taste ich meine Signale mit 100kHz ab ( das sind 10 Punkte für ne 10kHz Schwingung). Bricht meine Datenübertragung mit dem FIFO ab. es kommt kein Signal mehr an. Setze ich die Abtastrate auf 10 kHz, funzt das ganze wieder prima. Ich soll aber Schwingungen von 10kHz abtasten. Also habe ich wohl zu wenig Speicher in meinem cRIO 9002. (32 MB DRAM und 64MB nicht-flüchtig).

Ich dachte mal im Internet gesehen zu haben, wie zwei Signale (vielleicht auch Kanäle) mit einem FIFO übertragen wurden. Ich finde das Beispiel nur nicht mehr.
Es war aber so in der Art.[attachment=31681:FIFO_schreiben_2.JPG]
Wenn das so ginge würde ich wieder einen großen FIFO anlegen können mit 32767 Elemten und dann würde es auch mit einer Abtastrate von 100kHz funktionieren.
Nur bin ich mir im Moment nicht im Klaren wie ich den FIFO auslesen sollte, so das auch genau fest steht, das das eine Element zum ersten Signal gehört und das andere zum zweiten.

Hat jemand sowas schon mal gesehen oder gemacht?


Gunni
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
20.02.2007, 19:02
Beitrag #2

cb Offline
LVF-SeniorMod


Beiträge: 1.731
Registriert seit: Feb 2006

2018SP1
2001
EN

40xxx
Deutschland
2 Signale mit einem FIFO übertragen?
' schrieb:Ich dachte mal im Internet gesehen zu haben, wie zwei Signale (vielleicht auch Kanäle) mit einem FIFO übertragen wurden. Ich finde das Beispiel nur nicht mehr.
[...]
Hat jemand sowas schon mal gesehen oder gemacht?

ja, ich hab sowas schonmal gesehen oder gemachtBig Grin

ich glaub, das war in dem/den Beispiel/en, die ich für dich programmiert habe ...

Ich geb dir mal nen Tip: fasse die beiden Kanäle auf dem FPGA als U32 zusammen (das ist nämlich der Datentyp vom DMA-FIFO) und teile den U32 in dem RT-VI wieder in 2 I16 auf (das ist nämlich der Datentyp vom FPGA-analog Input ...)

http://www.rotabench.com - rotierende Prüfstände nach dem Baukasten-Prinzip
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
20.02.2007, 20:26
Beitrag #3

Striefchen Offline
LVF-Gelegenheitsschreiber
**


Beiträge: 131
Registriert seit: Sep 2006

8.00 / 8.2
2006
kA


Deutschland
2 Signale mit einem FIFO übertragen?
' schrieb:ja, ich hab sowas schonmal gesehen oder gemachtBig Grin

ich glaub, das war in dem/den Beispiel/en, die ich für dich programmiert habe ...

Ich geb dir mal nen Tip: fasse die beiden Kanäle auf dem FPGA als U32 zusammen (das ist nämlich der Datentyp vom DMA-FIFO) und teile den U32 in dem RT-VI wieder in 2 I16 auf (das ist nämlich der Datentyp vom FPGA-analog Input ...)

Einwandfrei i2dx.

Ich habe es in deinem crio-test-programm gefunden. Dein Test-Program ist ja ne richtige Schatztruhe für meine Belange. Wie kommt man nur auf sowas? Es hat bei mir auch alles funktioniert. Danke.


Gunni
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
20.02.2007, 20:42
Beitrag #4

cb Offline
LVF-SeniorMod


Beiträge: 1.731
Registriert seit: Feb 2006

2018SP1
2001
EN

40xxx
Deutschland
2 Signale mit einem FIFO übertragen?
' schrieb:Einwandfrei i2dx.

Ich habe es in deinem crio-test-programm gefunden. Dein Test-Program ist ja ne richtige Schatztruhe für meine Belange. Wie kommt man nur auf sowas? Es hat bei mir auch alles funktioniert. Danke.
Gunni

im Example Finder ...

http://www.rotabench.com - rotierende Prüfstände nach dem Baukasten-Prinzip
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
Question Timeout bei FIFO Speicher otto.kranz 15 35.667 19.09.2016 12:20
Letzter Beitrag: GerdW
  RT FIFO Frage gfzk 1 14.409 02.07.2015 15:56
Letzter Beitrag: GerdW
  RT FIFO Verständnis Michiel 3 9.960 13.06.2014 14:02
Letzter Beitrag: jg
  Fragen zu DMA FIFO gfzk 5 11.171 24.10.2011 17:23
Letzter Beitrag: gfzk
  DMA FIFO + TDMS File IO NoD 1 8.374 30.10.2009 15:21
Letzter Beitrag: dlambert
  Problem mit RT-FIFO Benjamin84 4 8.511 01.07.2008 10:11
Letzter Beitrag: cb

Gehe zu: