INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

Aus Dauerhigh Impuls erzeugen



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

16.10.2006, 15:52
Beitrag #11

Lucki Offline
Tech.Exp.2.Klasse
LVF-Team

Beiträge: 7.699
Registriert seit: Mar 2006

LV 2016-18 prof.
1995
DE

01108
Deutschland
Aus Dauerhigh Impuls erzeugen
Das auch für 7.1


Angehängte Datei(en)
Sonstige .vi  impulse_2.vi (Größe: 18,48 KB / Downloads: 201)
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
20.10.2006, 16:51
Beitrag #12

PowerMicha Offline
LVF-Grünschnabel
*


Beiträge: 43
Registriert seit: Apr 2005

8.5
2005
de

71332
Deutschland
Aus Dauerhigh Impuls erzeugen
Hallo,

danke für die Antworten,
damit komme ich weiter :-)
Schönes Wochenende!

Gruß

Micha
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
23.10.2006, 07:33
Beitrag #13

Grisu2000 Abwesend
LVF-Grünschnabel
*


Beiträge: 45
Registriert seit: Oct 2006

8.20
2006
kA


Deutschland
Aus Dauerhigh Impuls erzeugen
Statt einer Konstruktion mit Hilfsvariablen oder einem Schieberegister geht doch auch einfach eine Flankenauswertung oder? Sobald der Pegel von 0 auf 1 (oder umgekehrt) springt, wird ein Impuls ausgegeben. Die Funktion ist in Version 8.0 bei Mathmatics -> Point to Point -> und dann das Symbol wie eine Ampel. Hab leider gerade kein LV hier, sonst würd ich genau schauen.

Niveau ist keine Hautcreme!
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
30
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Impuls bei Änderung in einem String Nullstrom 2 3.569 28.10.2012 10:35
Letzter Beitrag: Nullstrom
  Istwert eines PID-Reglers in boolschen Impuls erzengelsamael 7 6.964 19.09.2012 12:32
Letzter Beitrag: Lucki
  Impuls nach steigender Flanke TiCy 4 6.411 07.05.2012 13:57
Letzter Beitrag: Lucki
  Impuls zählen Destiny 17 13.271 04.09.2008 05:33
Letzter Beitrag: MikeS81
  Impuls Output Guest 2 3.832 26.10.2006 08:04
Letzter Beitrag: Guest

Gehe zu: