INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

Impulse nicht-Ganzzahlig teilen?



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

02.04.2012, 08:31
Beitrag #1

gottfried Offline
LVF-Guru
*****


Beiträge: 1.735
Registriert seit: Mar 2007

2019
2004
EN

20**
Oesterreich
Impulse nicht-Ganzzahlig teilen?
Hallo,

eine (blöde?) Frage: kann man einen Strom von Pulsen nicht-Ganzzahlig teilen? Also am Eingang Impulse und ich möchte zum Beispiel am Ausgang nach 17,3 Eingangs-Impulsen einen Ausgangsimpuls (im Mittel).

Danke

Gottfried
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
02.04.2012, 08:37
Beitrag #2

GerdW Offline
______________
LVF-Team

Beiträge: 17.427
Registriert seit: May 2009

LV2021
1995
DE_EN

10×××
Deutschland
RE: Impulse nicht-Ganzzahlig teilen?
Hallo Gottfried,

Zitat:am Ausgang nach 17,3 Eingangs-Impulsen einen Ausgangsimpuls (im Mittel).
Also möchtest du 3mal nach 18 Impulsen und 7mal schon nach 17 Impulsen einen Ausgangsimpuls haben?

In Software wohl recht einfach, aber wenn du CTR-Funktionen der HW verwendest, könnte das ständige Umkonfigurieren hinderlich sein...

Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
02.04.2012, 08:40
Beitrag #3

gottfried Offline
LVF-Guru
*****


Beiträge: 1.735
Registriert seit: Mar 2007

2019
2004
EN

20**
Oesterreich
RE: Impulse nicht-Ganzzahlig teilen?
Danke Gerd

Hast Du eine Idee - kann man in HW das mit 2 Countern intelligent lösen?

Danke

Gottfried
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
02.04.2012, 08:57
Beitrag #4

BNT Offline
LVF-Freak
****


Beiträge: 740
Registriert seit: Aug 2008

5.0 - 22Q3
1999
EN

64291
Deutschland
RE: Impulse nicht-Ganzzahlig teilen?
Hi Gottfried
Schon mal an den Einsatz eine RIO-Karte gedacht?

Gruß Holger

NI Alliance Partner & LabVIEW Champion
GnuPG Key: 6C077E71, refer to http://www.gnupg.org for details.
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
02.04.2012, 09:31
Beitrag #5

gottfried Offline
LVF-Guru
*****


Beiträge: 1.735
Registriert seit: Mar 2007

2019
2004
EN

20**
Oesterreich
RE: Impulse nicht-Ganzzahlig teilen?
Hallo Holger,

was ist das? Ich dachte RIO ist ein Datenerfassungssystem im unerreichbaren Preisllevel? Angel_not

Gottfried
Webseite des Benutzers besuchen Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
02.04.2012, 09:34
Beitrag #6

GerdW Offline
______________
LVF-Team

Beiträge: 17.427
Registriert seit: May 2009

LV2021
1995
DE_EN

10×××
Deutschland
RE: Impulse nicht-Ganzzahlig teilen?
Hallo Gottfried,

"unerreichbar" ist relativ...

Kann sein, das sich der finanzielle Aufwand für dein Projekt erstmal hoch anhört. Wenn du dann aber deine Ingenieursstunden gegenrechnest (4fach Chassis, DIO-Karte, RT- und FPGA-Lizenz), kommen gar nicht so viele Stunden zusammen. Und mit dem FPGA kannst du ziemlich schnell deinen "krummen" Teiler programmieren...

Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
02.04.2012, 09:38
Beitrag #7

jg Offline
CLA & CLED
LVF-Team

Beiträge: 15.864
Registriert seit: Jun 2005

20xx / 8.x
1999
EN

Franken...
Deutschland
RE: Impulse nicht-Ganzzahlig teilen?
Es gibt auch FPGA-Einsteckkarten, Stichwort R-Serie:
http://sine.ni.com/nips/cds/view/p/lang/en/nid/202005

Gruß, Jens

Wer die erhabene Weisheit der Mathematik tadelt, nährt sich von Verwirrung. (Leonardo da Vinci)

!! BITTE !! stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort!

Einführende Links zu LabVIEW, s. GerdWs Signatur.
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
30
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Vorschubgeschwindigkeit über Impulse berechnen feeol 1 3.506 22.06.2014 19:52
Letzter Beitrag: GerdW
  NI 9485 und Impulse erzengelsamael 10 7.943 19.10.2012 10:32
Letzter Beitrag: GerdW
  Impulse messen mit NI PXI 6624 Honeygirl24 1 4.585 17.12.2010 14:59
Letzter Beitrag: jg
  Impulse zählen und nach ablauf bestimmter Zeit rücksetzen xxxpuma89xxx 4 8.316 14.06.2010 07:31
Letzter Beitrag: GerdW
  Impulse vermessen und verzögerten Impuls erzeugen gottfried 0 4.127 10.12.2008 17:29
Letzter Beitrag: gottfried
  Impulse eines Signales zählen djblake12 10 14.736 12.08.2008 12:56
Letzter Beitrag: djblake12

Gehe zu: