INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

Event Struktur - periodisches Event erzeugen



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

12.03.2013, 18:39
Beitrag #1

OwlTownAlf Offline
LVF-Neueinsteiger


Beiträge: 4
Registriert seit: Mar 2013

2012 SP1
1995
EN



Event Struktur - periodisches Event erzeugen
Hallo Zusammen,

ich habe ein VI, dass per Eventstruktur auf Tasten etc. reagiert.

Nun möchte ich das Programm für eine spezielle Funktionalietät erweitern und dazu einen Eventcase kreieren, der z.B. alle 500 ms durchlaufen wird.
Klassisch könnte man das mit einer weiteren parallelen While-Schleife tun.

Ich möchte aber lieber die bestehende Event-Struktur verwenden. Nur weiß ich nicht, wie ich ein periodisch wiederkehrendes Event erzeuge, damit der o.g. Event-Case durchlaufen wird.

Wer kann helfen?

Vielen Dank im Voraus

Happy WireWorking


OwlTownAlf
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
12.03.2013, 19:13
Beitrag #2

jg Offline
CLA & CLED
LVF-Team

Beiträge: 15.864
Registriert seit: Jun 2005

20xx / 8.x
1999
EN

Franken...
Deutschland
RE: Event Struktur - periodisches Event erzeugen

Akzeptierte Lösung

Wie wäre die Verwendung des Timeout-Case der Event-Struktur? Einfach 500 ms anschließen.
Dieser Timeout-Case wird dann immer nach 500 ms ohne ein weiteres Event ausgeführt (einen wirklich festen 500 ms Takt hast du damit also nicht).

Wenn dir das nicht reicht, dann bleib doch bei deiner Idee mit der Parallel-Schleife.

Gruß, Jens

Wer die erhabene Weisheit der Mathematik tadelt, nährt sich von Verwirrung. (Leonardo da Vinci)

!! BITTE !! stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort!

Einführende Links zu LabVIEW, s. GerdWs Signatur.
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
12.03.2013, 19:32
Beitrag #3

Lucki Offline
Tech.Exp.2.Klasse
LVF-Team

Beiträge: 7.699
Registriert seit: Mar 2006

LV 2016-18 prof.
1995
DE

01108
Deutschland
RE: Event Struktur - periodisches Event erzeugen

Akzeptierte Lösung

Beispiel nach Jens, mit zusätzlichem Trick:

11.0 .vi  Periodisch.vi (Größe: 9,74 KB / Downloads: 335)
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
15.03.2013, 15:54
Beitrag #4

OwlTownAlf Offline
LVF-Neueinsteiger


Beiträge: 4
Registriert seit: Mar 2013

2012 SP1
1995
EN



RE: Event Struktur - periodisches Event erzeugen
Hallo Zusammen,

es ist doch so einfach.

Ok, das ist ja immer so, wenn man erst mal weiß wie es geht.

Vielen herzlichen Dank!!!


OwlTownAlf
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  LV crasht beim Editieren von Event-Loops Lime 1 900 22.03.2024 18:02
Letzter Beitrag: Martin.Henz
  Event Triggern über boolean Anzeige simcum 6 1.859 25.02.2023 14:57
Letzter Beitrag: simcum
  Event Struktur Problem ache 9 3.307 17.09.2020 14:10
Letzter Beitrag: jg
  Enum gesteuertes Event ares2013 3 3.486 29.11.2019 19:03
Letzter Beitrag: Lucki
  Event Taste lange gedrückt hansi9990 1 2.407 17.02.2019 12:55
Letzter Beitrag: hansi9990
  Benutzer Event auslösen ? Niko1912 7 3.975 22.11.2018 16:35
Letzter Beitrag: GerdW

Gehe zu: