INFO: Dieses Forum nutzt Cookies...
Cookies sind für den Betrieb des Forums unverzichtbar. Mit der Nutzung des Forums erklärst Du dich damit einverstanden, dass wir Cookies verwenden.

Es wird in jedem Fall ein Cookie gesetzt um diesen Hinweis nicht mehr zu erhalten. Desweiteren setzen wir Google Adsense und Google Analytics ein.


Antwort schreiben 

Hexadezimal als String ohne Umwandlung



Wenn dein Problem oder deine Frage geklärt worden ist, markiere den Beitrag als "Lösung",
indem du auf den "Lösung" Button rechts unter dem entsprechenden Beitrag klickst. Vielen Dank!

27.11.2010, 08:40
Beitrag #1

benny10 Offline
LVF-Grünschnabel
*


Beiträge: 12
Registriert seit: Nov 2010

2009
-
de


Deutschland
Hexadezimal als String ohne Umwandlung
Hallo,

ist es möglich einen Hexadezimalwert als Zeichenkette darzustellen - im Anzeigemodus normal - ohne das irgendeine Umwandlung stattfindet? Oder muss man die Anzeige Hexadezimal verwenden?
Mein Problem ist, wenn ich mein VI in ein Sub VI umwandel, dass ein Texteingabefeld nicht auf dem Anzeigemodus Hexadezimal bleibt, auch wenn dies im VI als Statndartwert festgelegt wurde. Das Texteingabefeld dient dazu einen eingegebenen Wert mit einem einzulesenden Hexadezimalwert zu vergleichen, ohne das man die Eingabeoptionen noch auf Anzeige Hexadezimal umstellen muss.

Gruß
benny10
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
Anzeige
27.11.2010, 09:35
Beitrag #2

Y-P Offline
☻ᴥᴥᴥ☻ᴥᴥᴥ☻
LVF-Team

Beiträge: 12.612
Registriert seit: Feb 2006

Developer Suite Core -> LabVIEW 2015 Prof.
2006
EN

71083
Deutschland
Hexadezimal als String ohne Umwandlung
Hab' Dir hier mal schnell ein Bsp. für die diversen Umwandlungen gemacht. Vielleicht hilft's weiter:

Sonstige .vi  Normal_to_HEX_to_Normal.vi (Größe: 7,99 KB / Downloads: 549)

Lv80_img

Gruß Markus

--------------------------------------------------------------------------
Bitte stellt mir keine Fragen über PM, dafür ist das Forum da - andere haben vielleicht auch Interesse an der Antwort !!
--------------------------------------------------------------------------
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
30.11.2010, 00:00
Beitrag #3

unicorn Offline
LVF-Freak
****


Beiträge: 680
Registriert seit: Jul 2009

8.6.1, 2010 - 2012
1994
EN

10xxx
Deutschland
Hexadezimal als String ohne Umwandlung
Wenn Du bei einem Integer-Eingabeelement das Anzeige Format auf Hexadezimal stellst, sollte das auch so bleiben, wenn Du das VI als SubVI einsetzt.
Die eingegebene Zahl kann direkt mit einer anderen Zahl verglichen werden.

Wenn ein Eingabeelement jedoch eine String ist, so muss Du entweder die Zahl in einen Hexadezimalstring umwandeln oder den String in eine Zahl. sonst ist kein Vergleich möglich.
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
01.12.2010, 07:20
Beitrag #4

benny10 Offline
LVF-Grünschnabel
*


Beiträge: 12
Registriert seit: Nov 2010

2009
-
de


Deutschland
Hexadezimal als String ohne Umwandlung
Danke! Smile
Alle Beiträge dieses Benutzers finden
Diese Nachricht in einer Antwort zitieren to top
30
Antwort schreiben 


Möglicherweise verwandte Themen...
Themen Verfasser Antworten Views Letzter Beitrag
  Umwandlung String in Zeitstempel simcum 3 1.905 14.02.2023 17:41
Letzter Beitrag: Achim
  Schönheitsfehler Ring implizite Umwandlung LabViewer12 1 2.969 21.01.2017 17:12
Letzter Beitrag: GerdW
  Umwandlung Hexa in IEEE754 Gesetzt 4 5.137 28.11.2016 19:24
Letzter Beitrag: Gesetzt
  Problem Hexadezimal zu Dezimal Wandlung Fraser-Island 6 5.143 29.07.2015 10:34
Letzter Beitrag: Fraser-Island
  Umwandlung von VI-Versionen michifu 4 4.569 15.04.2014 10:11
Letzter Beitrag: michifu
  Umwandlung Hex in Dezimal Holg 5 10.118 04.12.2013 13:18
Letzter Beitrag: Holg

Gehe zu: